Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

HELP ! MODELSIM PE student version license issue

Status
Not open for further replies.

Vincent Girard

Newbie level 6
Joined
Feb 26, 2009
Messages
13
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Activity points
1,387
modelsim license

Hi my friends,

I really need your help !
Did someone had the following message from MODELSIM student version.

I've just downloaded MODELSIM PE student version from www.model.com
What does that mean ? I did nothing special for the license issue.
Do I need to add some kind of extra license file somewhere ?

Thanks in advance :

This is the message.

// Copyright 1991-2009 Mentor Graphics Corporation
# // All Rights Reserved.
# //
# // THIS WORK CONTAINS TRADE SECRET AND
# // PROPRIETARY INFORMATION WHICH IS THE PROPERTY
# // OF MENTOR GRAPHICS CORPORATION OR ITS LICENSORS
# // AND IS SUBJECT TO LICENSE TERMS.
# //
#
# // NOT FOR CORPORATE OR PRODUCTION USE.
# // THE ModelSim PE Student Edition IS NOT A SUPPORTED PRODUCT.
# // FOR HIGHER EDUCATION PURPOSES ONLY
# //
# vsim work.test_counter
# ** Error: ModelSim PE Student Edition license key file not found at
C:\Modeltech_pe_edu_6.5a\win32pe_edu\..\student_license.dat.
# ** FATAL ERROR: ModelSim PE Student Edition licensing failure due to
one or more problems with the license key such as:
# - it is not found
# - it has expired
# - it is not for this user
# - it is not for this computer
# - it is not for this version of ModelSim PE Student Edition.
#
# Please go to http://www.model.com and download an updated copy of
the ModelSim PE Student Edition.
# Error loading design
vsim work.counter
# vsim work.counter
# Error loading design
vsim work.test_counter
 

modelsim student license problem

Don't tell me no one have ever had this problem, Come on !
 

modelsim student license

i expect ur r working in windows. U need to request for a license file from Mentor. Details should be on their site. License file would be around 1KB and u need to set an environment variable "LM_LICENSE_FILE" pointing to this file
 

modelsim license student

you'd better use it in the linux machine!
 

modelsim student edition download

once u complete the installation u will be redirected to model/xilinx website for registration.then a license fill of 1 kb will be sent to u. save this license.dat file in LM_LICENSE_FILE in any folder.go to my computer.right click properties, advanced. Set variable give this LM_...._FILE and set the path of license.dat file as its variable.

then ur tool should be working
 

Thanks a lot ksrinivasan, I had the same problem but my tool is working now.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top