Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Can't simulate snapshot in Cadence ncsim

Status
Not open for further replies.

savigm

Newbie level 3
Joined
Apr 15, 2009
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,296
ncsim: *f,nosnap: snapshot

Hello.

I have a snapshot, but I can't simulate it:
Code:
ncxlmode -R
ncxlmode: 05.40-s021: (c) Copyright 1995-2006 Cadence Design Systems, Inc.
ncsim: *F,NOSNAP: snapshot 'worklib.test:verilog' does not exist in the libraries.
ncxlmode: *E,SIMERR: Error during Simulation (status 1), exiting

Directory:
Code:
./waves.shm
./waves.shm/waves.trn
./waves.shm/waves.dsn
./go
./INCA_libs
./INCA_libs/worklib
./INCA_libs/worklib/.cdsvmod
./INCA_libs/worklib/inca.lnx86.158.pak
./INCA_libs/worklib/cdsinfo.tag
./INCA_libs/worklib/.inca.db.158.lnx86
./INCA_libs/worklib/inca.lnx86.154.pak
./INCA_libs/worklib/.inca.db.154.lnx86
./INCA_libs/cds.lib
./INCA_libs/snap.lnx86.nc
./INCA_libs/snap.lnx86.nc/cds.lib
./INCA_libs/snap.lnx86.nc/.vlog.args
./INCA_libs/snap.lnx86.nc/.hard.args
./INCA_libs/snap.lnx86.nc/bind.lst.lnx86
./INCA_libs/snap.lnx86.nc/hdl.var
./INCA_libs/snap.lnx86.nc/ncxlmode.args
./INCA_libs/snap.lnx86.nc/.elab.args
./INCA_libs/snap.lnx86.nc/.ncv.lock
./INCA_libs/snap.nc
./INCA_libs/hdl.var
./INCA_libs/.ncv.lock
./init_IWSRAM.tcl

In ./INCA_libs/snap.lnx86.nc/hdl.var is:
Code:
define NCSIMRC  ( ~/.ncsimrc )
include ../hdl.var
define SNAPSHOT worklib.test:verilog

Command nchelp -cdslib:
Code:
nchelp -cdslib INCA_libs/snap.nc/cds.lib
nchelp: 05.40-s021: (c) Copyright 1995-2006 Cadence Design Systems, Inc.
Parsing -CDSLIB file ./INCA_libs/snap.nc/cds.lib.

cds.lib files:
1:  ./INCA_libs/snap.nc/cds.lib
2:  ./INCA_libs/cds.lib
    included on line 1 of ./INCA_libs/snap.nc/cds.lib

Libraries defined:

Defined in ./INCA_libs/cds.lib:
Line #  Filesys  Verilog  VHDL     Path
------  -------  -------  ----     ----
   1    worklib  worklib  WORKLIB  ./INCA_libs/worklib

How I can simulate snapshot??
 

ncsim: *f,nosnap

May be simulator can't locate snapshot because snapshot maked in Cadence ver. 05.60s020, and I try open it in Cadence ver. 05.40.s021? Is it?
 

ncv.lock

please make sure the snapshot you have is matched with the version of ncsim you use!
 

.cdsvmod

How I can get version of Cadence, when was made snapshot?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top