Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to assign pin in graphics mode for that clk_raw signal?

Status
Not open for further replies.

senthilkumar

Advanced Member level 1
Joined
Nov 24, 2001
Messages
401
Helped
27
Reputation
54
Reaction score
19
Trophy points
1,298
Location
india
Activity points
3,965
xilinx pgm help?

hai,

i write the code in ise like this

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity seven_seg is
port (
clk_raw : in std_logic;
a : in std_logic;
b : in std_logic;
c :eek:ut std_logic
);
end seven_seg;


architecture seven_seg_arch of seven_seg is
begin

c<= a and b;

end seven_seg_arch;



after i compile ok

sysntheisis ok

in pin constrin the clkraw will not come

only a b c come

how can i assign pin in graphics mode for that clk_raw signal.


any one help that.

thanks
 

Re: xilinx pgm help?

hi

since u have not use clk_raw it is not seen after synthesis.

try using attribute " keep" for the signal clk_raw...
it will retain the pin after synthesis..

thanks..
 

Re: xilinx pgm help?

If you don't use it why do you want to assign a pin to that signal?

Just trying the tool?

Why do you use the graphic mode? I personally don't like it and don't use it. 8)

--maestor
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top