senthilkumar
Advanced Member level 1
xilinx pgm help?
hai,
i write the code in ise like this
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity seven_seg is
port (
clk_raw : in std_logic;
a : in std_logic;
b : in std_logic;
c ut std_logic
);
end seven_seg;
architecture seven_seg_arch of seven_seg is
begin
c<= a and b;
end seven_seg_arch;
after i compile ok
sysntheisis ok
in pin constrin the clkraw will not come
only a b c come
how can i assign pin in graphics mode for that clk_raw signal.
any one help that.
thanks
hai,
i write the code in ise like this
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity seven_seg is
port (
clk_raw : in std_logic;
a : in std_logic;
b : in std_logic;
c ut std_logic
);
end seven_seg;
architecture seven_seg_arch of seven_seg is
begin
c<= a and b;
end seven_seg_arch;
after i compile ok
sysntheisis ok
in pin constrin the clkraw will not come
only a b c come
how can i assign pin in graphics mode for that clk_raw signal.
any one help that.
thanks