Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

ISE 9.2i VHDL editor problem.

Status
Not open for further replies.

kostbill

Full Member level 1
Joined
Dec 7, 2004
Messages
97
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
885
custom editor ise

Hello.

This is a weird problem.

I have the ISE 9.2i and in the project navigator editor, when I write in VHDL, it keeps reducing the size of the letters all the time, I have to press the control button and roll the mouse middle wheel to return to the normal size.

Anyone has an idea of what to do???

Thanks a lot.
 

interesting!
solution : Do not use ISE's editor for writing code, use either Textpad or Notepad++
 

Thanks, I had no idea about the notepad++, it seems a good solution.
 

Hello!

Try Context. It`s cool
www.context.cx

In ISE fill custom editor field with

"C:\Program Files\ConTEXT\ConTEXT.exe" $1 /g1:$2
"C:/Program Files/ConTEXT/ConTEXT.exe" $1 /g1:$2 -- For ISE 8.2.02

-- Regards
 

I tried notepad++ before it seems cool, try it
 

kostbill said:
Hello.

This is a weird problem.

I have the ISE 9.2i and in the project navigator editor, when I write in VHDL, it keeps reducing the size of the letters all the time, I have to press the control button and roll the mouse middle wheel to return to the normal size.

Anyone has an idea of what to do???

Thanks a lot.

Sounds like the same problem as Wordpad on XP. Some systems have additional software that allow you to change the functions of all the mouse controls, including the wheel. If you have the software, change the wheel click to "middle click".
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top