Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What's the difference among Modelsim PE, SE, LE and XE tools

Status
Not open for further replies.

vishwa

Banned
Joined
Aug 11, 2005
Messages
146
Helped
15
Reputation
30
Reaction score
2
Trophy points
1,298
Location
India
Activity points
0
modelsim student edition difference

Hi,


Can anybody please let me know, What's the difference among Modelsim PE, SE, LE and XE tools.

To simulate VHDL/Verilog code for FPGAs, which software i have to download??


Regards,
Viswa
 

modelsim pe vs xe

This may help you:
**broken link removed**

I don't think you want LE. It doesn't support VHDL.
 

modelsim pe se le

Hi,


Thanks for the reply.

Modelsim PE Student version is available for free on web. Is this enough to simulate FPGAs for functional & timing verification?


Regards,
Vishwa
 

modelsim pe se difference

That sounds like a good choice. The main thing you don't get is support.

That version may not support both VHDL and Verilog simultaneously in the same simulation. If that's important to you, investigate further.
 

modelsim le

vishwa said:
Hi,


Thanks for the reply.

Modelsim PE Student version is available for free on web. Is this enough to simulate FPGAs for functional & timing verification?


Regards,
Vishwa

No -- the Student version can't the vendor cell-libraries. (You need these for gate-level simulations, or if your RTL directly instantiates any vendor IP-blocks, like the DSP48, BlockRAM, transceiver, etc.)

The XE edition (free-one) comes with Xilinx's libraries precompiled. I'd start there.

And like someone else said, none of the free Modelsim editions (OEM or Mentor) allow mixed-language (VHDL+Verilog) simulation. I also noticed Systemverilog support has been disabled since last year. (Before that, you could compile and run limited Systemverilog simulations, if you limited yourself to 'Design' constructs only.)
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top