Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

ERROR:Xst:2585 Port of instance does not exist in definition

Status
Not open for further replies.

BlackOps

Full Member level 5
Joined
Jan 1, 2005
Messages
279
Helped
14
Reputation
28
Reaction score
3
Trophy points
1,298
Location
AZERBAIJAN
Activity points
2,496
Hello, i have a design of asynchronous FIFO. FIFO.vhd file contains structural interconnection of its elements. including Counter. The declaration of counter is in the file named FifoParts.vhd ... i compile it good without errors and also successfully simulate in Modelsim.

but when i put this design, and add it all as peripheral in EDK. i get the following errors:

Code:
IPNAME:video_ctrl_0_wrapper INSTANCE:video_ctrl_0 -
E:\PROJECTS\EDK\test18\system.mhs line 161 - Running XST synthesis

ERROR:Xst:2585 - Port <clock> of instance <wcnt> does not exist in definition <Counter>.
ERROR:Xst:2585 - Port <cnt_ena> of instance <wcnt> does not exist in definition <Counter>.
ERROR:Xst:2585 - Port <count> of instance <wcnt> does not exist in definition <Counter>.
ERROR:Xst:2585 - Port <reset> of instance <wcnt> does not exist in definition <Counter>.
ERROR:Xst:2585 - Port <sclr> of instance <wcnt> does not exist in definition <Counter>.

ERROR:MDT - Aborting XST flow execution... 
INFO:MDT - Refer to
   E:\PROJECTS\EDK\test18\synthesis\video_ctrl_0_wrapper_xst.srp for details

ERROR:MDT - platgen failed with errors!

make: *** [implementation/video_ctrl_0_wrapper.ngc] Error 2

Done!

but the declaration of Counter element...and its instantiation is same... what do u think the problem is?

thanks

Added after 1 hours 40 minutes:

the Xilinx answers records give me this:
Code:
Description
Keywords: VHDL, HDLParsers, parse

When I analyze a VHDL design, the following error occurs:

"ERROR:Xst:2585 Port <port_name> of instance <inst_name> does not exist in definition <def_name>"


Solution
Compare the component declaration and instantiation to the submodule that is instantiated. When this error occurs, the declaration matches the instantiation, but it does not match the port declarations of the submodule.

To solve this problem, change either the port declarations in the declaration/instantiation pair or the submodule port declarations so that the two match. This error is specific to the quantity and names of the ports in the submodule.

but the declaration and instantiation DOES match in my design... instantiation of Counter occurs inside FIFO.vhd, and the declaration of Counter is in FifoParts.vhd the oepration of Counter is behavioral code in counter.vhd

and the FIFO is isntantiated in the Top.vhd file.

any ideas?
 

edk error:xst:2585 port

i wonder is this such a difficult error, as noone could help yet :D

maybe problem is very trivial? could anyone just give me what he thinks about it? or maybe someone ever faced this problem?
 

error:xst:2585

ok i have tried implementing the design just in ISE... there was NO such error. then i created peripheral in EDK, and pointed the location of NGC file and source files from the ISE project's folder which i implemented before... then it seemed to work..
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top