Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to use $rand() in Verilog ?

Status
Not open for further replies.

deepu_s_s

Full Member level 5
Joined
Mar 24, 2007
Messages
305
Helped
15
Reputation
30
Reaction score
5
Trophy points
1,298
Activity points
3,021
Hello friends,

Is $rand() available in verilog? If so how to use it ? can anyone upload the docs related to that

Thanks and Regards
Deepak
 

Re: doubt in verilog

$random() is defined at least since Verilog-2001, see the IEEE spec, available at EDAboard. But it is documented only with tools, that can utilize this function. e. g. ModelSim, but not with compiler tools.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top