Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

`timescale usage in Verilog?

Status
Not open for further replies.

want2LearnVlsi

Newbie level 6
Joined
Jan 9, 2008
Messages
13
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,382
verilog timescale directive

Hi,

Why the directive `timescale should be used?
Suppose if we donot use the 'timescale directive, and mention the delays like #10, etc, how the simlator will consider it?

KSSR
 

timescale directive in verilog

Hi
`timescale directive is not used to produce delays like #10

Added after 4 minutes:

let us consider

`timescale 1ns/1ps

reg set;
parameter d = 1.55
initial
begin
#d set = 0;
#d set = l;
end
endmodule


The `timescale tells the system to use 1 ns for all reporting and internally use 1 ps
for resolution of time in this part of design. Thus, the value for parameter d is scaled
to a delay of 1.55 ns. Had we used timescale directive `timescale 10ns/1ns, 1.55
would mean 15.5 or 16 ns. The first part of `time-scale gives the time-units and the
second part gives the time-precision.
 

timescale directive verilog

so by the `timescale directive can i generate a clock of 1Hz ???
 

timescale verilog

`timescale is compiler directive using this we con not generate any signal it is used to indicate the time scale and its resolution

example

if we specify `timescale 1ns/10ps

it means we specifing the dealy values used in our discreption as in ns and the simulation resolution of 10ps
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top