Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Adding Libraries in Xilinx ISE (VHDL)?

Status
Not open for further replies.

zeeshanzia84

Full Member level 3
Joined
May 29, 2006
Messages
166
Helped
35
Reputation
70
Reaction score
17
Trophy points
1,298
Location
Pakistan / Germany
Activity points
2,680
error:hdlparsers:3317

Hello,

I am trying to use an IP core, for whom a configuration file is provided to me. Now this is a config.vhd file.....

The first two lines of this file are:

library techmap;
use techmap.gencomp.all;

I have ADDED all of the files in a techmap directory (all .vhd files) to my project. Still, at the time of synthesis it keeps showing me the following erorr.

ERROR:HDLParsers:3317 - "E:/Xilinx/leon31/pal/config.vhd" Line 17. Library techmap cannot be found.
ERROR:HDLParsers:3013 - "E:/Xilinx/leon31/pal/config.vhd" Line 18. Library techmap is not declared.


So, can somebody please tell me how I can add this library? What is the extension of the library file?

Thanks a lot in advance.
 

ise library cannot be found

within the ISE
click project/new source...
select "VHDL library"
enter "techmap" as "File name"
click "next"
click "finish"
select the "Libraries Tab" in the "Source" window

right-mouse-click on each file that belongs to your "techmap"-library
select "Move to library"
select "techmap"

last procedure must be done for each vhdl file

Hope, it helps
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top