Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Need a VHDL code for 16 bit counter with up range and low range

Status
Not open for further replies.

seemagoyal44

Member level 1
Joined
Oct 20, 2007
Messages
39
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,535
//want a vhdl code for 16 bit counter with uprange and low rangei.e input are reset,count enable,considering with up range and low range. //


SAME DESIGN IS MODIFIED ------
with same inputs but one more input is added mode[1:0]

IF mode[00] then ....increment order by 1
if mode[01].....increment by2
if mode[10]......increment by3
if mode[11].....random value....(random value should not cross up and low range)
 

vhdl code

sounds like an assignment question.i cant write whole code,but i can give some hints
use case statrement for 4 modes.inside each case u simply count the counter.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top