Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to write the code for creating delay using counter in VHDL?

Status
Not open for further replies.

vrcjobs

Newbie level 2
Joined
Sep 17, 2007
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,296
hi can any one tel the example of how to write the code for creating delay using counter.
can u give a simple example vhdl code
 

delay in vhdl

Hi,
You can use the after or wait statement.
Exemples:
wait for 10 ns;
s<='1';

or

s<='1' after 10 ns;
 

Re: delay in vhdl

yes. But this statement is not synthesizable, It can only be used for simulation purposes.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top