Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

spartan pin LOC problem

Status
Not open for further replies.

Tetra

Junior Member level 1
Joined
Jun 19, 2003
Messages
16
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
160
xil_map_locwarn

The problem summrized in the following steps

1- I need to assign certain pins pf spartan 2 device so I used different methode to do so (Constrains editor, ucf file gnerated from leonardo, attribute in VHDL file ) all methode gave successful results and the Constraint Editor see the assignments of pins in the required positiones.

2- The MAP step in design manager gave the following error in almost all the assigned pin

"ERROR:MapLib:30 - Bad format for LOC constraint 129 on IBUF. To bypass this
error set the environment variable 'XIL_MAP_LOCWARN'."

3- I did the setting of the required environment variable and the all design flow had been pass successfuly.

4- I reviewed the output report of "PAD report" and I found that the assigned pin location differ greatly from that seen in the constrains editor.

can any one help.
 

mapping pin spartan 2

Hi Tetra,

I think your problem is, that you try to use a primitive (the IBUF) on
a pin that doesn't has this one.

Could you be more specific, which device, which case, which pin number ?

HG
 

error maplib:30

Regarding the device is SpartanII XC2S100-5PQ144.
regarding the Case and pin number, I did an experiment that a NAND fate and assigned the 3 terminals with I/O ports and I got the same results.
 

error maplib 30

1. Are you sure that the LOC syntax is right? I mean i.e.: NET "XX" LOC = "P99"; Check the information from XILINX.

2. About the "XIL_MAP_LOCWARN" I will restore it previous value. It only avoids the warnings.

3. I don´t remember exactly the version, perhaps ISE 4.1, but a bug related to the constraints editor was reported.

4. Send your design for giving you more help.
 

maplib:30 - loc constraint

I have checked Xilinx site and there is no XC2S100-PQ144. See attached files.
 

pinloc

regarding the device it is spartanII 100K gate and -5PQ144 specify speed grad and packaging so it will not change our setuation as the folw stop at certain step. any way

I'm sure that the LOC syntax is right as the translate step passed.
regarding "XI_MAP_LOCWARN" thats right, it is only avoids the warning.
I use 4.1 with windows 98 and target Virtex and non of this error appeared. now I doubt about win 2000 which currently my OS.
 

spartan pins

may be that you try to map a reserved or special pin?
I have the same trouble if woud assign the done pin.
Post i will analyze it.
bye.
G.
 

assign pin virtex

Dear TPL71
I avoided the special pins in my design. and to be sure I made a small NAND gat design and I ssigned the three pins into general I/O pins and I got the same error. Dos anyone passed the design flow
Leonardo -- file.edf --> alliance ---> file.bit on win2000 (pentium4 1.5HZ) successfuly ?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top