Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What's the best VHDL/Verilog/SystemVerilog editor?

Status
Not open for further replies.
systemverilog eclipse plugin

aji_vlsi said:
darylz said:
I'm finding a good VHDL/Verilog/SystemVerilog editor software, but it looks like not optimal. Most of software are designed for C/C++/Java coding, not suitable for hardware description languare...
Would you like give me some suggestion on this?

Emacs with all relevant modes - Verilog, VHDL, SV modes.

HTH
Ajeetha, CVC
www.noveldv.com

Where to find the SV mode for emacs?
 

nedit system verilog

there is one more called textedit it is pretty good...

guys who have recomended emacs... can u pls help me understand it.

how to use.. i am finding it difficult to use shortcut keys for it. so for every function i have to use mouse and scroll down the menu and select the function...

can some one help...

thnks
tama
 

linux verilog coding editor

why not UltraEditor?
 

systemverilog notepad++

crimson editor (www.crimsoneditor.com) for Windows. supports colmn edit

scriptum (www.hdlworks.com) for windows/solaris/Linux

You can also configure the editors to perform compilation by the simulator/synthesis tool if you know the path to the executables of the simulator and synthesizer.
 

vim vhdl

I still think crimson editor and scriptum are user friendly. The automatic completion feature in scriptum is good as it avoids retypin of long signal names etc. try it out. it is free and really worth it. jGrasp is also good. I think EMACS is not very user friendly.
 

editor for systemverilog

i think activeHDL is recommanded
 

vhdl editor linux

professionally, most of the people use either gVim or Emacs .. they both are pretty professional handling HDL and at the same time they are completely for free .. no need to buy a certain tool to use its editor, like in ActiveHDL or ModelSim .. etc.
 

jedit system verilog plugin

Recently I used emacs, and found that it's reall wonderful..

In the past, my favourite editor was VI, it's totally different than emacs, but i think i will be familiar with it quickly..

I think that emacs is better than VI ..

Just an opinion...

Regards,
Ahmad,
 

jedit systemverilog

i'm using nedit
and i guess it's great :)
most guys talk about emacs here
i'll have to try that too
 

nedit system verilog syntax

I recommend Mentor's Modelsim. It is the best.
 

systemverilog autocomplete vim

eivala said:
I recommend Mentor's Modelsim. It is the best.

Should one buy the whole ModelSim tool for the sake of its editor ?
 

notepad++ system verilog

I've switched from UltraEdit to notepad++ lately.

I used to use emacs, but I eventually found that the VHDL/Verilog modes got in the way, and I also found it became a hassle to configure how tabs were handled. I'd rather do all the indentation myself (ie: relpace tab with spaces, with tab width of 4)
 

eclipse vhdl editor

i like gvim.
i think u should use what ever u are comfortable using...
 

notepad + systemverilog

i think the modelsim se/pe supports all the HDLs like vhdl,verilog,systemC and system also.
 

simplifide

VIM is great if you could get syntax highlighting to work
 

systemverilog nedit

I found gvim is a good editor once you get hands on it,since I haven't used emacs
 

emacs system verilog

simple,powerful&professional
EMACS is the best!
 

best vhdl editor

Xilinx is Cool Especially the 9.1 series .. Active HDL is also good
 

system verilog editors

The kate is good at linux, which like ultroedit in windows
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top