Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to define VHDL registers in IOB

Status
Not open for further replies.

master.ro

Member level 1
Joined
Apr 18, 2006
Messages
38
Helped
4
Reputation
8
Reaction score
0
Trophy points
1,286
Location
Romania
Activity points
1,523
Hello friends!
I'm working to a design with registered outputs and I want to map (pack) the flip-flops in IOB flip-flops.
Please tell me how to set up Xilinx fot this!
Thank you!
 

+xilinx +vhdl coding +style iob

There are several ways to define such registers.
In ISE, inside the project setings in "Implement Design" properties : "Map properties" set proper type in "Pack I/O registers/Latches into IOB's". This is a global definition and you need to check if the result is proper.
But there are possibility to place individual registers into IOB:
In VHDL design file, if registers instancies are known you can place:
Code:
# Place register into an IOB/ILOGIC/OLOGIC
INST "<register_name>" IOB=TRUE;
It is possible to use atributes:
Code:
attribute IOB : string
attribute IOB of <port_name>: signal is "TRUE";
Te same you can have using UCF constraints inside ucf file.

The style of codig depends from project requirements.

bis
 

vhdl + register

It is always preferable to apply global constraints for these type.
# -pr i|o|b Pack internal flops/latches into input (i), output (o),
# or both (b) types of IOBs.

use this switch in map stage.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top