Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

need help in project....

Status
Not open for further replies.

wccheok

Member level 1
Joined
Jul 29, 2005
Messages
39
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,673
Hi all,

I wish to build a digital alarm clock with PIC16F84, any one got the related link?
Or can provide me other information about how to build the Digital Alarm Clock ( using other uController )?
Cos i have try to find it already, i cant find using the PIC16F84 uC one.


Thx alot for help....
 

Thanks nguyennam, it quite helpfull. I will look over it. If got any problem i ask for help again. =D
 

After quick google search, i found this valuable results:
http://quozl.linux.org.au/alarm-clock/
**broken link removed**
**broken link removed**

This one is very good one indeed, but in chinese, you can try to use Google Translate facility to translate into english.
**broken link removed**

(Do u want my advice? do some googling before u post topic here :D)

I hope that helps u,
Best regards,
Ahmad,
 

Hi Ahmad,

Sorry for late reply. Recently quite busy and didnt view the forum. :D
Thanks for your advice and your source... :D It was give me some help in compare with the PIC16C54.
Actually i have do some research at yahoo but not google :p, i will try use it next time. And the website u provide;

h**p://www.try-net.or.jp/~el_dream/clock/seven_a/clock1/clock1.html

are japan language, not mandarin. :D


Regards,
Wei Chin
 

Hi nguyennam,

Can the PIC16F84A using the 32.768kHz crystal? From the circuit you provide if i replace the PIC16C54 with the PIC16F84A is that same if i using back the original code?
Im new in PIC uC.



Thanks,
wccheok
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top