Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Comparing the output in VHDL

Status
Not open for further replies.

Opel_Corsa

Member level 1
Joined
Nov 13, 2005
Messages
41
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,614
How would you compare the output of your functional block to a value? say my code has an output signal defined in the entity part, and I want to see if this signal equals a binary value at a certain instance.

Any help is appreciated.
 

Opel_Corsa said:
How would you compare the output of your functional block to a value? say my code has an output signal defined in the entity part, and I want to see if this signal equals a binary value at a certain instance.

Any help is appreciated.

Use:

Code:
  assert (my_out_val = expected_val) report "Error Incorrect value" severity error;

The real challenge is in computing the "expected value", that's an involved job, no generic solution, depending on the design/system there are several approaches. We cover that during our Comprehensive Functional Verification (CFV) course, see www.noveldv.com for more.

HTH
Ajeetha, CVC
www.noveldv.com
 

That's good to know, but what I'd want to do is to execute another set of VHDL statements after the comparison instead of returning a text error.
 

If ur using ncvhdl then check for nc_mirror function.
 

Opel_Corsa said:
That's good to know, but what I'd want to do is to execute another set of VHDL statements after the comparison instead of returning a text error.

Convert that to an equiv process:

Code:
  p1 : process (exp_out, actual_out) is
  begin
    if (my_out_val /= expected_val) then
     ..
   end if;    
  end process p1;

HTH
Ajeetha, CVC
www.noveldv.com
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top