Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

serial to parallel data conversion

Status
Not open for further replies.

lythanhthuan

Member level 3
Joined
May 18, 2006
Messages
67
Helped
6
Reputation
14
Reaction score
3
Trophy points
1,288
Location
M.T.A-VN
Activity points
1,718
Can anyone suggest me a circuit or a device the data coming out from serial port into parallel mode that is if a am sending 10001110 from serial data i want to convert them into a data from different pins.i searched google and found that max1661 can be used for this.is that the one or any other component is there.
Can anyone suggest me a method to convert like this for a FPGA in VHDL.i mean i need the command of the type of coding to be folloewd to do this
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top