Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

folding Verilog("begin"-"end") code in G

Status
Not open for further replies.

davyzhu

Advanced Member level 1
Joined
May 23, 2004
Messages
494
Helped
5
Reputation
10
Reaction score
2
Trophy points
1,298
Location
oriental
Activity points
4,436
Hi all,

I want to folding Verilog code in GVIM.

The Verilog code clause is "begin"-"end" pair. Is there any tutorial talk about how to use the folding in GVIM? And does GVIM support "begin"-"end" pair folding? Thanks!

BTW, my GVIM version is 6.2.

Best regards,
Davy
 

Re: folding Verilog("begin"-"end") code

Hi,
can you lcarify what is folding?

(Assuming that it is opening a begin and correctly closing it with a corresponding end, then
The gvim checks only paranthesis folding.
You need to develop a coding ethic of your own to check this out.
One way is intending.
begin
xxxx
xxxx
if (xxx)
begin
yyyy
yyyy
end
else
begin
zzz
zzz
end
xxxx
xxxx
end

Hope I cleared you.)
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top