Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Cadence Virtuoso ADE - Simulation and Plotting Help!

Status
Not open for further replies.

aoshater

Newbie level 6
Joined
Jun 19, 2005
Messages
11
Helped
2
Reputation
4
Reaction score
1
Trophy points
1,283
Activity points
1,425
virtuoso ade

I want to know how to do two things :

1) When creating a long simulation which ends up with 10 million or more points, plotting alone can take around 10 minutes, exhausting the harddrive. I wish to plot certain waveforms which are slow varying, and therefore, i don't care to see all the 10 million points, but rather maybe only 1 point every 1000 points. I want to know what is the command/option to plot reduced points waveforms.

2) Can i for example simulate a certain time, say 10us, and save the simulation status until that time. And then, continue the simulation from that point a no. of times while changing the design, noting that those 10us voltage and current solutions will not change, and therefore, it is a big waste to simulate it every time i change something in the design. I was thinking if there could be an option which could just set all the nodes to the voltages and currents at a time point in simulation.

Just to give an example, a double-loop PLL, in which one loop starts first. After 10us, the PLL switches to the second loop. I change some parameters in the second loop while the first loop remains the same, and therefore, the first 10us are just the same.

Sorry for typing too much, i did go through cdsdoc but i have a time crisis, alot of simulations to carry out, so a little detailed help here would be great!
 

Hi,

If you have already performed the simulation and have the data, you can use the calculator to tabulate the data (in wavescan it is under the Tools category in the calculator, I don't recall offhand in AWD, but you can do it there too). The option to limit the number of data points is there as Step/Scale after selecting Range.

You can also use ocnPrint in the CIW (icfb window):

ocnPrint( ?output "~/output.txt" VT("/Vvco") ?from 0 ?to 50u ?step 50n ?precision 10 ?numberNotation 'engineering)

This will print a table to a file called output.txt in your home directory (unix) of the transient signal Vvco from time 0 to 50 us in steps of 50 ns with 10 point of precision in engineering format (so you can plot in excel or matlab or whatever).

If you are re-running the simulation, under transient analyses, options, you can set the strobeperiod to a value similar to the step size above, which will only save data in the set interval. Note, if you do this your fast signals are not sampled properly when plotted (they will look funny). Strobedelay is similar but delays the start time.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top