Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Question about Xlinx Timing Constrain

Status
Not open for further replies.

EDA_hg81

Advanced Member level 2
Joined
Nov 25, 2005
Messages
507
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,298
Activity points
4,808
Few modules in my code such as module 1, module 2 and module3.

Only module 1 and module 2 have physical connections.

But why Xlinx Timing Constrain analyzer shows all timing errors even for connections between module1 and module 3?

They should not be connected.

Why?

All your suggestions are appreciate.
 

you probebly use a common clock signal for all of them.
 

    EDA_hg81

    Points: 2
    Helpful Answer Positive Rating
EDALIST's answer sounds right, but if you want to understand timing constraints and errors, I have just the thing for you...

Here is a link to a SUPERB document describing static timing in Xilinx devices, how to set up constraints and what they do. It also covers the error messages and the timing reports so that you can optimise your logic.
**broken link removed**
 

    EDA_hg81

    Points: 2
    Helpful Answer Positive Rating
If not clock then atleast there will be one common signal connected to both the blocks....and the STA tool will show that path... you may see that in the unconstraint path report...
 

    EDA_hg81

    Points: 2
    Helpful Answer Positive Rating
Do you know hot to change Logic level in xilinx ISE?
 

You can double click the submenu "assign package pins" and you can select the logic type.
 

    EDA_hg81

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top