Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

The digital design flow for Cadence

Status
Not open for further replies.

omara007

Advanced Member level 4
Joined
Jan 6, 2003
Messages
1,237
Helped
50
Reputation
102
Reaction score
16
Trophy points
1,318
Location
Cairo/Egypt
Activity points
9,716
Hi guys ..

I would like to know the exact digital design flow for cadence .. starting from HDL down to GDSII ..
 

cadence asic design flow

Hi,
they have their own tutorial links which tells you the complete flow using cadence tools. its available at

**broken link removed**

under the "introduction to ASIC implementation"

hope this helps.
 

site crete cadence

hi,
rtl -> rtlcompiler -> first encounter -> gps -> nanoroute
 

cadence synthesize power plan

linuxluo said:
hi,
rtl -> rtlcompiler -> first encounter -> gps -> nanoroute

what's (First Encounter) for ?
GPS ?
Nanoroute ?

are all these tools embedded in SoC Encounter ?

and where can I use a tool like Assura or Diva ?
 

cadence design flow

chek this site
**broken link removed**
 

fe gps cadence

FE (First Encounter):
- Prototyping tool --> floor plan, power plan,...

GPS (Global physical synthesis):
- Use the same keyword of "global synthesis" from RTL Compiler.

NanoRoute:
- Router (global route + detail route)
----------------------------------------------------------------------------
SOCE 5.2 = FE + RTL Compiler + sRoute + NR + crosstalk analysis (Celtic) + ...

-----------------------------------------------------------------------------
SOCE does not contain sign-off DRC/LVS features.
------------------------------------------------------------------------------

Cadence RTL-to-GDSII flow:
- 1) synthesisDesign
- 2) planDesign + APP(automatic power plan)
- 3) placeDesign
- 4) clockDesign
- 5) globalDetailRoute (routeDesign)
------------------------------------------------------
- 6) ecoDesign
 

introduction cadence design flow

sree205 said:
Hi,
they have their own tutorial links which tells you the complete flow using cadence tools. its available at

h**p://crete.cadence.com

under the "introduction to ASIC implementation"

hope this helps.

The CRETE website is no longer supported. and I can't get that paper, can you kindly upload it here?

Thanks,
Ahmad,
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top