Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Square root and squaring operation using Verilog

Status
Not open for further replies.

param

Member level 2
Joined
Sep 9, 2005
Messages
49
Helped
4
Reputation
8
Reaction score
0
Trophy points
1,286
Activity points
1,649
square root in verilog

pls anyone help me to find the square root and squaring operations in verilog,
actually i need to find the magnitude,
is there any direct instruction in verilog hdl to find these values
 

square root verilog

Verilog doesn't have square root. It's a messy operation in hardware.
Squaring is easy, simpy use x*x.

Consider using CORDIC to find magnitude:
**broken link removed**
 

    param

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top