Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Modelsim: # (vish-4014) No objects found matching 'sim:/test

Status
Not open for further replies.

pieterc

Newbie level 1
Joined
Feb 6, 2006
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,296
vish-4014

I'm trying to simulate a very small piece of SystemVerilog code with ModelSim 6.0d. It compiles without errors, but when I try to add the signals to the wave, I get this error:
Code:
# (vish-4014) No objects found matching 'sim:/test_iterface_tb_v/*'.
(note: there's a typo, iterface should be interface, but that shouldn't make any difference.)

Does anybody knows what this error means?
 

no objects found matching

maybe the signal path is error?
how did you add the signal to the wave windows?
 

modelsim no objects found matching

If the top level design unit(Active design in the workspace) is not selected this can happen.Else if the path is wrong then.
 

(vish-4014) no objects found matching

hi i even i am getting the same error...# (vish-4014) No objects found matching '/*'.
 

vish-4014 no objects found matching

suyog255 said:
hi i even i am getting the same error...# (vish-4014) No objects found matching '/*'.

Try using:

Code:
vlog -voptorgs=+acc

Issue maybe to do with the vopt flow.

Ajeetha, CVC
www.cvcblr.com
 

vlog -voptorgs=+acc didnt worked for me

but this worked like charm..
vsim -novopt tb_name.v
 

Hi,

I am also facing this problem "vish-4014" in QuestaSim while adding variable.

The following command works perfectly well to add signal.

add wave -radix decimal pmt_if_tb/U_0/test_signal

But when I tried to add variable by the following command it does not work.

Note: p_seq_offset is the name of process in which variable is defined.

add wave -radix decimal pmt_if_tb/U_0/p_seq_offset/test_variable


//////////////////////////////////////////////////////////////////////////////////
Here is the copy of transcript.

add wave -radix decimal pmt_if_tb/U_0/test_signal
# ** Warning: (vsim-WLF-5000) WLF file currently in use: vsim.wlf
#
# File in use by: bqu021 Hostname: IFTELAB039033 ProcessID: 80460
#
# Attempting to use alternate WLF file "./wlftatd3w2".
# ** Warning: (vsim-WLF-5001) Could not open WLF file: vsim.wlf
#
# Using alternate file: ./wlftatd3w2
#

add wave -radix decimal pmt_if_tb/U_0/p_seq_offset/test_variable
# (vish-4014) No objects found matching 'pmt_if_tb/U_0/p_seq_offset/test_variable'.
 

Any suggestion how to add variable in QuestaSim simulation ?
 

variables inside a process may not be logged by default. you may need vcom +acc=v and also type "log pmt_if_tb/U_0/p_seq_offset/test_variable" explicitly.
 

or just use the -novopt option to the vsim command
 

We advise not using the -novopt switch, and it will become an error in the next release of ModesSim/Questa. Use +acc=... (BTW, this is not an issue for the "free" versions of Modelsim because it has no optimizations.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top