Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

a problem about using verilog-a in HSIM simulation

Status
Not open for further replies.

yankuangtu

Member level 3
Joined
Nov 1, 2003
Messages
65
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Activity points
487
when i use laplace_nd function of verilog-a in hsim simulation, it cannt work,but using spectre simulation works well, what's the problem?
i mean that when using hsim,the result assigned with laplace_nd will not change,it hold a constant
my hsim is version 5.0 in linux.any one else met the problem before.
thanks alot
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top