Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

passing real values in verilog??

Status
Not open for further replies.

itheman

Junior Member level 1
Joined
Apr 13, 2005
Messages
17
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
San Jose
Activity points
1,498
pass real

Is there any way to pass real-values thru input/output ports in verilog-xl?
 

itheman said:
Is there any way to pass real-values thru input/output ports in verilog-xl?

Not in Verilog, there are 2 system tasks:

$bitstoreal, $realtobits that will convert real <-> 64 bit reg - that should help you here.

HTH
Ajeetha
--
www.noveldv.com
Interested in expert PSL/SVA training in Bangalore?
Visit www.noveldv.com/cvc.html
 

$bitsto real and realtobits may not work. Since I can use this only if I declare the inputs and outputs as 64 bit wires. Any other suggestions? (Thanks in advance)

Thanks
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top