Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Function for a square root of a generic-length std_logic_vector in VHDL

Status
Not open for further replies.

omara007

Advanced Member level 4
Joined
Jan 6, 2003
Messages
1,237
Helped
50
Reputation
102
Reaction score
16
Trophy points
1,318
Location
Cairo/Egypt
Activity points
9,716
Hi guys ..
Anyone knows a function/module that performs a square root of a generic-length std_logic_vector ?
Preferabbly written in VHDL.
 

vhdl sqrt

it is similiar with divider, maybe you can reference some divider module
 

square root in vhdl

tarkyss said:
it is similiar with divider, maybe you can reference some divider module

can u explain how it's like the Divider ?
 

Re: Square Root in VHDL

it is simplest method: "An Optimized Square Root Algorithm for Implementation in FPGA Hardware". This paper has published in TELKOMNIKA Journal
 

Re: Square Root in VHDL

hello u can compute square root using CORDIC algorithm by just using adders and shifters only. but it requires few clock cycles to give output. number of cycles depends on the precision and accuracy.
 
  • Like
Reactions: Aya2002

    Aya2002

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top