Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

"DIfference between "LATCH" and "FLIPFLO

Status
Not open for further replies.

suvendu

Full Member level 3
Joined
Oct 10, 2004
Messages
167
Helped
16
Reputation
32
Reaction score
3
Trophy points
1,298
Activity points
2,121
In which case we can use clock? wheteher or not clock can use in both case?
 

Re: "DIfference between "LATCH" and "FLI

It is usually thought that we don't use clock in case of LATCH while in case of FlipFlop we use clock. It is not necessary.

Clock can be used both with Flip Flop and Latch. In that case the difference is that

latch stores the data when clock is LOW while
Flip Flop stores the data when clock is high.
 

Re: "DIfference between "LATCH" and "FLI

I would rather say that flip-flop is more generic naming. Flip-flop has two stable stages, and can be asyncron or syncron.
However, in Wakerley's book (Digital Design-Principles and Practices) by admitting that there is some confusion with these namings, it is said:
All digital designers use the name flip-flop for a sequential device that normally samples its inputs and changes its outputs only at times determined by a clocking signal. On the other hand, most digital designers use the name latch for a sequential device that wathches all of its inputs contimuously and changes its outputs at any time, indipendent of a clocking signal.

Belsugului
 

Re: "DIfference between "LATCH" and "FLI

Latch is edge trigerred
Flip Flop is level trigerred
 

Re: "DIfference between "LATCH" and "FLI

a flop transfers its input to its output only on the active clock edge
a latch continuously transfers D to Q while EN is active.

Latches are level sensitive, whereas flops are edge sensitive.

Latches are sensitive to glitches.

Less gates are used in implementing latches
 

Re: "DIfference between "LATCH" and "FLI

A Latch is a bistable device that remains in a given state until driven to the opposite state by an asynchronous input. It has 2 asynchronous inputs: a "Set" input which puts the latch in the "1" state, and a "Reset" (Clear) input that puts the latch in the "0" state.
.
A flip-flop is a device that contains a latch, plus additional circuitry that cause the latch to change state only on a clock edge. The clock edge to which the flip-flop responds depends on the design. It can be either the positive-going or the negative-going edge. The state change that takes place is performed according to a set of rules that are determined by the type of flip-flop:
.
"T" type:
. output reverses state after each clock edge.
.
"S-R" type
. S R Next state
. 0 0 No Change
. 0 1 0
. 1 0 1
. 1 1 This input combination is not allowed. Result is undefined.
'
"J-K" Type (Same as R-S type, except 1 1 input combination is allowed)
. J K
. 0 0 No Change
. 0 1 0
. 1 0 1
. 1 1 Toggles (Changes to opposite state)
.
To further complicate things, many flip-flops have direct "asynchronous" Set and Reset (Clear) inputs that force the output to a specific state independently of the clock. Use of these inputs results in the flip-flop behaving as a latch. The result of simultaneous application of the direct set is design dependent. However, the direct set or direct reset input that is removed last is the one that "wins", i.e., determines the output state.
Regards,
Jon
 

Re: "DIfference between "LATCH" and "FLI

1)FlipFllop is edge trigerred and Latch is level Trigerred
2)Latch is very sensitive to noise ,occupies less area and power over FlipFlop
3)Latch has problems like cycle stealing
4)Two latch Positive and Negative level makes one Flip Flop
 

Re: "DIfference between "LATCH" and "FLI

eng_Semi said:
Latch is edge trigerred
Flip Flop is level trigerred

WRONG!
flip-flip is edge triggered , while the latch is level senstive ..

for more clarification, the flip flop captures the data at its input when the edge of the clock (whethere high or low) strikes .. (people who say that flip flop is pos-edge while latch is neg-edge or vice-versa ARE WRONG) ..
the Latch captures all the data that comes at its input during the clock level( whethere one "high" or zero "low") and keep at the output the last data came while the clock was on the level.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top