Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Modelsim Coverage Reporting

Status
Not open for further replies.

Battawi

Newbie
Joined
May 12, 2020
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
22
I'm running multiple UVM tests for a certain DUT, I want to collect coverage statistics for all these tests By running the following command I get a detailed report on coverage after running simulation


coverage report -file report.txt -byfile -detail -all -dump -fecanalysis -metricanalysis -option -assert -directive -cvg -codeAll

the problem is that this report only includes statistics from the last test that was run , the file is overwritten after every test

I want to merge coverage statistics, I searched and found that I should used UCDB files but can't find any details on how to do so and produce a text file report.
Any help would be appreciated.
 

I just found this forum few days ago while I was tackling with a very similar problem.
In my case I have a for loop in which I run multiple tests for a single dut and I want to see how each one of them did.
I use this as an option for vsim command
Code:
-do "coverage save -onexit $TEST.ucdb; run -all"
to create .ucdb file and then after the test I use

Code:
vsim -c -cvgperinstance -viewcov $TEST.ucdb -do "coverage report -file ${TEST}.txt -byfile -detail -noannotate -option -cvg; quit -f"

to translate that into a txt file which I then parse with greps and awks into my own custom summary report.
Did it this way because I didn't know any better.
 
Read about the command "vcover merge".

I actually did use it but can't get the ucdb file to be converted to text only html with very few details

- - - Updated - - -

I played around with the merge command plus what ledi have posted and found a solution
I save each test coverage to a separate ucbd file
I then merge them into another ucdb file
then report that file into text
Code:
 coverage save file1.ucdb
coverage save file2.ucdb
vcover merge merged.ucdb file1.ucdb file2.ucdb 
coverage report -file report.txt -byfile -detail -all -dump -fecanalysis -metricanalysis -option -assert -directive -cvg -codeAll

This produces a text report with details
note: merged.ucdb has to be created beforehand, so I just made it once with a random run and kept it for later
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top