Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Divide-by-1 clock divider through D flip-flops

Status
Not open for further replies.

zerodb

Newbie level 1
Joined
Jan 13, 2011
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,286
Is there a way we can achieve divide-by-1 clock through D flip-flop or transmit the clock pulse to Q?
 

what are you looking to gain from a divide by 1?
 

Hi,

Divide by one means "no operation at all"
Output = input
Useless function

For such a useless function you may use a
* buffer
* AND gate (both inputs tied together)
* OR gate (both inputs tied together)
... or several other non clocked solutions.

But not with a "clocked" solution like a DFF.
The problem is that a DFF can react on one clock edge only (either rising or falling).... thus it can not react on the other edge.
But for a "divide by one" you need to react on both incoming edges. Impossible.

Klaus
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top