Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Multiplication in vhdl

Status
Not open for further replies.

sonika111

Member level 2
Joined
Jan 11, 2011
Messages
50
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Activity points
1,716
Hi there

How to mutiply say 16 bit signed number with 8 with signed constant using adders to get the result in one cycle (in vhdl)? Thanks very much
 

Hi,

There are many discussions, tutorials and solutions in the internet. Even videos.

Please go through some of them first.
If there still are any questions, please give the source you refer to. ... so we are able to discuss about the same document/information.

Klaus
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top