Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Simple Problem of Systemverilog

Status
Not open for further replies.

Holzapfel

Newbie level 4
Joined
Nov 27, 2019
Messages
7
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
86
Hallo everyone,

Im very new to sv and I have a quite simple question.

I have 3 module now, lets say there a module_1,module_2 and module_3.
Module_1(input a_1,output a_1);
module_2(input a_2,output a_2);
module_3(input a_3,output a_3);

Now I want to instance module_2 and module_3 in module_1, and conncet output a_2 to input a_3, so I create a signal "signal_transport" in module_1:
module_1(input a_1,output a_1);
module_2 instance_module_2(.input a_2(input a_1),.output a_2(signal_transport));
module_2 instance_module_3(.input a_3(signal_transport),.output a_3(output a_3));

But after I run simulation in modelsim, I get error like "signal_transport is driven via a port connection, is multiply driven". How can I solve thsi probelm?

Thanks a lot for help!
 

Hallo everyone,

Im very new to sv and I have a quite simple question.

I have 3 module now, lets say there a module_1,module_2 and module_3.
Module_1(input a_1,output a_1);
module_2(input a_2,output a_2);
module_3(input a_3,output a_3);

Now I want to instance module_2 and module_3 in module_1, and conncet output a_2 to input a_3, so I create a signal "signal_transport" in module_1:
module_1(input a_1,output a_1);
module_2 instance_module_2(.input a_2(input a_1),.output a_2(signal_transport));
module_2 instance_module_3(.input a_3(signal_transport),.output a_3(output a_3));

But after I run simulation in modelsim, I get error like "signal_transport is driven via a port connection, is multiply driven". How can I solve thsi probelm?

Thanks a lot for help!

what data type did you use for signal_transport?
 

Hallo,

since all the inputs and outputs are type logic, so I use signal_transport as logic too.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top