Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Reading from a TXT file to a 2d array in vhdl

Status
Not open for further replies.
I agree with ads-ee. You should be able to read all the data into an array in zero time. I would usually do this in an initiallisation function rather than a process. If you are relying on the 1ns pause there is something wrong with the design. Its not working correctly because you have a signal for the data_counter. You should use a variable instead.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top