Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

power analysis using synopsys DC compiler

Status
Not open for further replies.

avishek_sinha_roy

Junior Member level 1
Joined
Oct 20, 2011
Messages
15
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,402
I am trying to generate power report using Synopsys DC compiler.

At first I have generated VCD file using Modelsim simulator, which I have converted to SAIF file using "vcd2saif" command.
Then I proceeded to analyze the power through DC compiler.

However, I am getting an warning " There are 1166 objects not found during annotation", and the combinational switching power is showing zero for my design.

What can be the error?

I believe the internal signals in the generated SAIF file from the MODELSIM does not match with the internal signals of the synthesized netlist. Do I have to perform postsynthesis simulation, but then how to link the design library file with MODELSIM.


Kindly guide in this regard.
 

I am trying to generate power report using Synopsys DC compiler.

At first I have generated VCD file using Modelsim simulator, which I have converted to SAIF file using "vcd2saif" command.
Then I proceeded to analyze the power through DC compiler.

However, I am getting an warning " There are 1166 objects not found during annotation", and the combinational switching power is showing zero for my design.

What can be the error?

I believe the internal signals in the generated SAIF file from the MODELSIM does not match with the internal signals of the synthesized netlist. Do I have to perform postsynthesis simulation, but then how to link the design library file with MODELSIM.


Kindly guide in this regard.

This is a common mistake folks do all the time. Most likely the vcd hierarchy does not match the circuit hierarchy because the VCD was generated when the tb was the top level. DC has the circuit itself as the top level, not its testbench.
 

Thank you for reply. I understand that since Modelsim is a simulator the test bench should be the top module whereas since the DC is a synthesis tool, the circuit should be top module. But if this is the error then how can I overcome this.
 

You need to run post synthesis simulation on the synthesized netlist and generate saif file first. Later load the synthesized design and libraries and give generated saif file as an input to design compiler again and run the design. You will generate the power report.
 

Thank you for reply. I understand that since Modelsim is a simulator the test bench should be the top module whereas since the DC is a synthesis tool, the circuit should be top module. But if this is the error then how can I overcome this.

Use the -instance and possibly the -target arguments to the read_saif command to push down to the same level of hierarchy that is used by dc_compiler for synthesis.
 

Use the -instance and possibly the -target arguments to the read_saif command to push down to the same level of hierarchy that is used by dc_compiler for synthesis.

I have already used -instance as shown in the following read_saif command.........
read_saif -input waves.saif -instance test_tb/dut -rtl_direct

I have not used the -target argument . I am not sure how to use the -target argument. I could not find anything online how to use that.

- - - Updated - - -

You need to run post synthesis simulation on the synthesized netlist and generate saif file first. Later load the synthesized design and libraries and give generated saif file as an input to design compiler again and run the design. You will generate the power report.

My problem is not about generating power report. I am able to generate power report using saif file from pre synthesis simulation.

As suggested, I have also done post synthesis simulation and then used the saif file. However, I am getting similar kind of warning "objects not found during annotation"
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top