Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Can't Read SAED32nm libraries for design compiler

Status
Not open for further replies.

ibtesam90

Newbie level 6
Joined
Jul 23, 2019
Messages
13
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
174
Hello everyone!
I am new to Synopsys tools and i am having trouble using the 32nm libraries provided by Synopsys.

I am trying to set the link libraries through following command:
set link_library {"../../../../../general_libraries/Libraries/SAED32_EDK/lib/stdcell_rvt/saed32rvt_ss0p95v125c.db" "../../../../../general_libraries/Libraries/SAED32_EDK/lib/stdcell_rvt/saed32rvt_ff1p16v125c.db" "../../../../../general_libraries/Libraries/SAED32_EDK/lib/stdcell_rvt/saed32rvt_ff1p16v25c" ".../../../../../general_libraries/Libraries/SAED32_EDK/lib/stdcell_rvt/saed32rvt_ss0p95v25c.db" "../../../../../general_libraries/Libraries/SAED32_EDK/lib/stdcell_rvt/saed32rvt_tt1p05v125c.db" "../../../../../general_libraries/Libraries/SAED32_EDK/lib/stdcell_rvt/saed32rvt_tt1p05v25c.db"}

and then

set target_library {"../../../../../general_libraries/Libraries/SAED32_EDK/lib/stdcell_rvt/saed32rvt_tt1p05v125c.db"}

QUESTION: design compiler is giving warning Warning: Can't read link_library file '../../../../../general_libraries/Libraries/SAED32_EDK/lib/stdcell_rvt/saed32rvt_ss0p95v125c.db'. (UID-3)

Am I using the right libraries or need to include some other libraries too. there are too many libraries in 32nm EDK :(
Can anyone solve this issue for me?
 
Last edited:

step 1) stop using all these libraries and add them one by one
step 2) stop using relative paths and use absolute paths
 

edaboard.PNG
I have attached the screenshot after the said changes. I hope I got what you wanted to say.

Still getting the same error.

Pardon my ignorance, I am new to this thing.
 

Thanks for the suggestion. It worked but even after reading the libraries Compiler is unable to link the design.
I am using a benchmark circuit s298 form ISCAS89 benchmark circuit. The following errors are being shown:

Information: Building the design 'NAND3X1'. (HDL-193)
Warning: Cannot find the design 'NAND3X1' in the library 'WORK'. (LBR-1)
Warning: Unable to resolve reference 'NAND3X1' in 's298'. (LINK-5)

How to resolve this issue as there are more than 100 .db files in db_ccs?
 

Thanks for the suggestion. It worked but even after reading the libraries Compiler is unable to link the design.
I am using a benchmark circuit s298 form ISCAS89 benchmark circuit. The following errors are being shown:

Information: Building the design 'NAND3X1'. (HDL-193)
Warning: Cannot find the design 'NAND3X1' in the library 'WORK'. (LBR-1)
Warning: Unable to resolve reference 'NAND3X1' in 's298'. (LINK-5)

How to resolve this issue as there are more than 100 .db files in db_ccs?

I think now there is an issue with your script other than finding the files. It looks like each std cell is an individual design, which is wrong. But then again, I don't use DC that often. Maybe someone else knows what is going on.
 

Try such comand:

set link_library "* lib1.db lib2.db ..."

The character '*' in the list is important.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top