Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Timing slack showing Unconstrained after synthesis in genus

Status
Not open for further replies.
Are you sure about the "clk"? I think it is only clk, without the " "
Also I would recommand that you dont call the SDC clock clk as the pin, so you allways know which signal you are working with!

My genus works without the "" but I havent tried with!
 

Yes. That was a sample file that i used. it works with my other programs that has one module. Here i am using structural model and that is what i am not sure about.
 

your circuit has no logic, there is nothing to constrain. if you do report_clocks, it should list the clock in there, even without any logic.
 

@NotSam he wrote that he only uploaded the declaration of hi top module

You load all your verilog files, right? What I remember it is important to input the hirachy bottom up, eg.:
read_hdl -vhdl {FlipFlop.vhd counter.vhd wholeCPU.vhd}

Do you use a script to feed genus? if yes enter each command at its own and read EVERY warning that genus gives you, I am quite sure there is one that helps!
 

@NotSam he wrote that he only uploaded the declaration of hi top module

You load all your verilog files, right? What I remember it is important to input the hirachy bottom up, eg.:
read_hdl -vhdl {FlipFlop.vhd counter.vhd wholeCPU.vhd}

You might be right, but the report_clocks command should still work nonetheless. You can also do report_timing -unconstrained to figure out what is happening.

The file order makes no difference for genus, it can be any order. You just have to name the top module explicitly.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top