Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Critical path while using xilinx ise

Status
Not open for further replies.

Chinmaye

Full Member level 3
Joined
Jan 18, 2016
Messages
164
Helped
0
Reputation
0
Reaction score
1
Trophy points
1,298
Activity points
3,145
Dear all,
When i tried to synthesize my verilog code in ISE, my timing report said,

Minimum period: 4.490ns (Maximum Frequency: 222.712MHz)
Minimum input arrival time before clock: 4.358ns
Maximum output required time after clock: 0.728ns
Maximum combinational path delay: No path found

From what i understand, Maximum frequency is determined by the path that takes a longer time to execute. How do i trace that path?
 

Where do i check that? In the synthesis report right?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top