Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

vcom-1263 Error with generate and component instantiation

Status
Not open for further replies.

wtr

Full Member level 5
Joined
May 1, 2014
Messages
299
Helped
29
Reputation
58
Reaction score
25
Trophy points
1,308
Activity points
4,108
First see https://stackoverflow.com/questions...iguration-specification-all-bcd-applies-to-no

Now instead of including the

for all : x use entity lib.x within the generate statement block_declarative_part

Is it possible to have config information in the main architecture block declarative part but include the hierarchy.

for all : generate_label.x use entity lib.x

The above didn't work for me. I was curious if people knew an answer, or does the limitation of the language and tool mean we are resigned to co-locate the config info.
 

I dont know the answer to this specifically, because I never use configurations (and Ive never seen them used or needed them).
Unless you have multiple architectures, they're pretty useless. Even if you have multiple architectures, using direct instantiation you can specify the architecture and then use generics/generates to instantiate different architectures. (And again, Ive never written multiple architectures)

Can I ask why you're using configurations?
 
  • Like
Reactions: FvM

    FvM

    Points: 2
    Helpful Answer Positive Rating
Can I ask why you're using configurations?

Like many things in life, I'm force to do it through circumstance.

The legacy code that I've inherited is like the stackoverflow question.

They used for all statements in the wrong level of scope.

There are instances, where different files have the same filename. This is because over the years multiple individual asic designs were merged into a bigger fpga. Therefore functionx.top would be different to functiony.top however they both are top.vhd, just located in different directory structures.

I've already replaced the configurationally part to the generate statement block declarative part and this has removed warnings.

Regards.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top