Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Cadence Spectre CIW Warning and Error (ADE-3023)

Status
Not open for further replies.

JLHW

Member level 1
Joined
Jan 5, 2019
Messages
39
Helped
1
Reputation
2
Reaction score
0
Trophy points
6
Activity points
451
Hi, I, a rookie in Linux environment, have installed Cadence IC617 on CentOS 7.6 and was going to simulate an analogue IC schematic.

When I launched ADE L, the command interpreter window (CIW) showed this warning:

Code:
*WARNING* The Virtuoso Analog Design Environment (ADE) creates a user interface (UI) to match the features of the particular
version of MMSIM you are using. The software could not find the 'feature file' in the MMSIM hierarchy that lists the features
available in this version of MMSIM. You might be using a wrapper script around spectre such that 'which spectre' returns
your wrapper script and not spectre in the MMSIM hierarchy. For now, ADE will create a UI to match the latest version
of spectre. If you are using an older version and do not want to see the UI for features that are not available in
that version, you can set the following variable according to spectre version you are using:
setenv  SPECTRE_FEATURE_FILE /home/pathForMMSIM/tools/spectre/etc/files/spectre.dat

If I proceed to netlist and run, with or without analysis setup, CIW would display this error:

Code:
Loading cdf.cxt 
ERROR (ADE-3023): Unable to locate spectre executable in the specified path. Ensure that
        the executable is present in the path or to set the correct path, use
        the setShellEnvVar() in Command Interpreter Window (CIW).

What I've done:

1. Based on that warning above, I've able to locate spectre.dat, which is at /opt/cadence/MMSIM151/tools/spectre/etc/files.

2. I've checked my .bashrc (I'm using bash) and confirmed the MMSIM part as shown below:

Code:
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# Cadence Analog Simulators (MMSIM) - Spectre, SpectreRF, &
# RelXpert (Reliability Simulator)
#
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
#!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!#
export MMSIMHOME=/opt/cadence/IC617/MMSIM151
export PATH=$PATH:$MMSIMHOME/bin:$MMSIMHOME/tools/relxpert/bin
#!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!#

--------------------------------------------------------------------------------------------------------------------

Did my .bashrc set correctly? How to solve this problem?

Thanks in advance.
 

Show me location of “spectre”.

Enter getShellEnvVar("PATH") in CIW.
Show me result.
 
Last edited:
  • Like
Reactions: JLHW

    JLHW

    Points: 2
    Helpful Answer Positive Rating
/opt/cadence/MMSIM151/tools/spectre
 

If I enter "which spectre", the terminal returned:

Code:
[JLHW@jlhw opt]$ which spectre
/usr/bin/which: no spectre in (/usr/local/bin:/usr/local/sbin:/usr/bin:/usr/sbin:/bin:/sbin:/opt/cadence/IC617/tools/dfII/bin:/opt/cadence/IC617/tools/plot/bin:/opt/cadence/IC617/MMSIM151/bin:/opt/cadence/IC617/MMSIM151/tools/relxpert/bin:/opt/cadence/EXT151/bin:/opt/cadence/PVS151/bin:/opt/cadence/PVS151/tools/bin:/opt/cadence/PVS151/tools/K2/MaskCompose/bin:/opt/cadence/GENUS152/tools/bin:/opt/cadence/JLS151/bin:/opt/cadence/INNOVUS152/bin:/opt/cadence/CTOS142/bin:/opt/cadence/CONFRML152/bin:/opt/cadence/SSV152/tools/bin:/opt/cadence/INCISIVE151/bin:/opt/cadence/INCISIVE151/tools/bin::/opt/cadence/INCISIVE151/tools/vtools/vfault/bin:/opt/cadence/ET151/bin:/opt/cadence/VIPCAT113/tools/denali_64bit/bin:/opt/cadence/MVS152/tools/bin:/opt/mentor/Calibre2015/aoi_cal_2015.2_36.27/bin:/opt/sonnetsoftware/sonnet_16.52/bin:/home/JLHW/.local/bin:/home/JLHW/bin:/opt/cadence/IC617/tools/dfII/bin:/opt/cadence/IC617/tools/plot/bin:/opt/cadence/IC617/MMSIM151/bin:/opt/cadence/IC617/MMSIM151/tools/relxpert/bin:/opt/cadence/EXT151/bin:/opt/cadence/PVS151/bin:/opt/cadence/PVS151/tools/bin:/opt/cadence/PVS151/tools/K2/MaskCompose/bin:/opt/cadence/GENUS152/tools/bin:/opt/cadence/JLS151/bin:/opt/cadence/INNOVUS152/bin:/opt/cadence/CTOS142/bin:/opt/cadence/CONFRML152/bin:/opt/cadence/SSV152/tools/bin:/opt/cadence/INCISIVE151/bin:/opt/cadence/INCISIVE151/tools/bin::/opt/cadence/INCISIVE151/tools/vtools/vfault/bin:/opt/cadence/ET151/bin:/opt/cadence/VIPCAT113/tools/denali_64bit/bin:/opt/cadence/MVS152/tools/bin:/opt/mentor/Calibre2015/aoi_cal_2015.2_36.27/bin:/opt/sonnetsoftware/sonnet_16.52/bin)
[JLHW@jlhw opt]$

This terminal is opened at /opt, similar output is achieved if I opened the terminal at Desktop.

However, if I search the folder manually in root folder, I can find spectre in /opt/cadence/MMSIM151/bin and /opt/cadence/MMSIM151/tools.lnx86/bin, as shown below:

asshdj.PNG

are those the correct directories?

---------------------------------------------------------------------------------------------------------------------

EDIT:

below is the CIW results after typing the command getShellEnvVar("PATH"):

Code:
getShellEnvVar("PATH")
"/opt/cadence/IC617/bin:
/opt/cadence/IC617/tools/bin/64bit:
/opt/cadence/IC617/tools/bin:
/opt/cadence/IC617/share/oa/bin:
/usr/local/bin:
/usr/local/sbin:
/usr/bin:
/usr/sbin:
/bin:
/sbin:
/opt/cadence/IC617/tools/dfII/bin:
/opt/cadence/IC617/tools/plot/bin:
[B][COLOR="#FF0000"]/opt/cadence/IC617/MMSIM151/bin:
/opt/cadence/IC617/MMSIM151/tools/relxpert/bin:[/COLOR][/B]
/opt/cadence/EXT151/bin:
/opt/cadence/PVS151/bin:
/opt/cadence/PVS151/tools/bin:
/opt/cadence/PVS151/tools/K2/MaskCompose/bin:
/opt/cadence/GENUS152/tools/bin:
/opt/cadence/JLS151/bin:
/opt/cadence/INNOVUS152/bin:
/opt/cadence/CTOS142/bin:
/opt/cadence/CONFRML152/bin:
/opt/cadence/SSV152/tools/bin:
/opt/cadence/INCISIVE151/bin:
/opt/cadence/INCISIVE151/tools/bin:
/opt/cadence/INCISIVE151/tools/vtools/vfault/bin:
/opt/cadence/ET151/bin:
/opt/cadence/VIPCAT113/tools/denali_64bit/bin:
/opt/cadence/MVS152/tools/bin:
/opt/mentor/Calibre2015/aoi_cal_2015.2_36.27/bin:
/opt/sonnetsoftware/sonnet_16.52/bin:
/home/JLHW/.local/bin:/home/JLHW/bin:
/opt/cadence/IC617/tools/dfII/bin:
/opt/cadence/IC617/tools/plot/bin:
[B][COLOR="#FF0000"]/opt/cadence/IC617/MMSIM151/bin:
/opt/cadence/IC617/MMSIM151/tools/bin:[/COLOR][/B]
/opt/cadence/EXT151/bin:
/opt/cadence/PVS151/bin:
/opt/cadence/PVS151/tools/bin:
/opt/cadence/PVS151/tools/K2/MaskCompose/bin:
/opt/cadence/GENUS152/tools/bin:
/opt/cadence/JLS151/bin:
/opt/cadence/INNOVUS152/bin:
/opt/cadence/CTOS142/bin:
/opt/cadence/CONFRML152/bin:
/opt/cadence/SSV152/tools/bin:
/opt/cadence/INCISIVE151/bin:
/opt/cadence/INCISIVE151/tools/bin:
/opt/cadence/INCISIVE151/tools/vtools/vfault/bin:
/opt/cadence/ET151/bin:
/opt/cadence/VIPCAT113/tools/denali_64bit/bin:
/opt/cadence/MVS152/tools/bin:
/opt/mentor/Calibre2015/aoi_cal_2015.2_36.27/bin:
/opt/sonnetsoftware/sonnet_16.52/bin"

- - - Updated - - -

As per my reply just now, basically there is no spectre found if i type "which spectre" in terminal regardless at where I opened it, but I could find the executable files (in /opt/cadence/MMSIM151/bin and /opt/cadence/MMSIM151/tools.lnx86/bin) if search manually.

meanwhile, the getShellEnvVar("PATH") command shows the paths related to MMSIM151 as stated in my .bashrc file, which are:

Code:
[B][COLOR="#FF0000"]/opt/cadence/IC617/MMSIM151/bin:
/opt/cadence/IC617/MMSIM151/tools/relxpert/bin:
/opt/cadence/IC617/MMSIM151/bin:
/opt/cadence/IC617/MMSIM151/tools/bin:[/COLOR][/B]

so far, the problem still persists, should I modify my .bashrc file?
 
Last edited:

UPDATE:

I've somehow solved the problem.


What I did:

1. In .bashrc, I've typed the wrong directory at the MMSIM lines, so I've changed the part as shown below (some paths may be redundant):

Code:
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# Cadence Analog Simulators (MMSIM) - Spectre, SpectreRF, &
# RelXpert (Reliability Simulator)
#
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
#!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!#
export MMSIMHOME=[B][COLOR="#FF0000"]/opt/cadence/MMSIM151[/COLOR][/B]
export PATH=$PATH:$MMSIMHOME/bin:$MMSIMHOME/tools/relxpert/bin
#!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!#
export PATH=[B][COLOR="#FF0000"]/opt/cadence/MMSIM151/[/COLOR][/B]bin:/opt/cadence/IC617/tools/bin:/opt/cadence/IC617/tools/dfII/bin:$PATH

2. Before initialisation, I opened a terminal at /home, then typed this command to refresh my .bashrc for Cadence, and initialised virtuoso:

Code:
[JLHW@jlhw ~]$ . .bashrc
[JLHW@jlhw ~]$ virtuoso

Then I closed virtuoso and this terminal.

3. My work directory is at /home/JLHW/EDA (DIFFER FROM USER TO USER), so I opened the terminal at here to initialise virtuoso again, but with setenv command:

Code:
[JLHW@jlhw EDA]$ csh
[JLHW@jlhw ~/EDA]$ setenv SPECTRE_FEATURE_FILE /opt/cadence/MMSIM151/tools/spectre/etc/files/spectre.dat
[JLHW@jlhw ~/EDA]$ virtuoso

4. the setenv and . .bashrc commands are needed once only, meaning that the next time you open virtuoso, those commands are not needed and you can invoke virtuoso normally.


Thanks for the help! :thumbsup:
 

    V

    Points: 2
    Helpful Answer Positive Rating
Hi JLHW,

I have been faced with almost the same problem. I couldn't run any basic, simple spectre simulation using ADE L. I keep having the problem below:
SpectreSimError.PNG

So I have read all the posts above and tried your instructions. As you did, I checked my .bashrc and it is as shown below;

Code:
# .bashrc

# User specific aliases and functions

alias rm='rm -i'
alias cp='cp -i'
alias mv='mv -i'

# Source global definitions
if [ -f /etc/bashrc ]; then
	. /etc/bashrc
fi

As you could see from my .bashrc file, there is no such MMSIM path or any directory as in your case... I don't know if this refers that MMSIM is not installed..

I have also typed getShellEnvVar("PATH") to CIW coomand line and this is what I got:

Code:
/home/yital/cadence/installs/IC617/bin:
/home/yital/cadence/installs/IC617/tools/bin:
/home/yital/cadence/installs/ASSURA41/oa_v22.50.047/bin:
/usr/kerberos/bin:
/usr/local/bin:
/usr/bin:
/bin:
/usr/X11R6/bin:
/home/yital/cadence/installs/IC617/tools/dfII/bin:
/home/yital/cadence/installs/ASSURA41/tools.lnx86/assura/bin:
/home/yital/bin:
/home/yital/cadence/installs/IC617/tools/dfII/bin:
/home/yital/cadence/installs/ASSURA41/tools.lnx86/assura/bin

Again, getShellEnvVar("PATH") shows no path related to MMSIM151. If I search manually, I am able to see multiple 'MMSIM151' files but not 'MMSIM151' folder. So do you have any about it? What might be the problem? Should I install MMSIM151 or do what?

Thank you for any kind of help, in advance.

Bests,
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top