Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] ModelSim is not reporting errors properly

Status
Not open for further replies.

tahirsengine

Member level 3
Joined
May 7, 2007
Messages
66
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,288
Location
Germany
Activity points
1,929
Hi,
I am using ModelSim 18.1 for the simulation of my designs. Now the problem is I have some fairly obvious mistakes, and upon compile, ModelSim is skipping them and is not reporting the errors.

For example, I have a Verilog module like this:

Code:
module a_module(a,b,c,d);

I am instantiating this module like that:

Code:
a_module a_module_inst( .e(...),  .b(...),  .c(...),  .d(...));

In the port list above, e I/O is obviously not the part of original module. But ModelSim is compiling it properly.

Is there any option that I should choose to make ModelSim report errors?
 

did a different error show up a few lines later?
sometimes the error found is not the syntax error you're talking about, but an error as a result of the syntax error.
 

did a different error show up a few lines later?

No, nothing appeared. Basically it is compiling without any error. I don't know why its not detecting errors. It is detecting some other errors, though.
 

Modules are compiled separately, the error will pop up when you simulate (.e.g module "not bound" error). That's how the simulator works. There will be surely an error message, just read the simulation log thoroughly.
 
Modules are compiled separately, the error will pop up when you simulate (.e.g module "not bound" error). That's how the simulator works. There will be surely an error message, just read the simulation log thoroughly.

You are right. When codes simulated, it gave an error. Thanks.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top