Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

DC Optimize Registers message

Status
Not open for further replies.

mwb

Junior Member level 2
Joined
Jul 20, 2015
Messages
21
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,469
Hi, Im getting used to using "optimize_registers" in dc for retiming, everything that I'm doing seems to be working. However, I do get this output message during the optimization:


Code:
Warning: The output port 'CTL_SP[28]' has output rise and fall delay 
	that is smaller than the estimated average setup time used by the 
	retiming algorihm. For optimal results make sure to set an output 
	delay that is realistic. This can be done by using the 
	'set_output_delay' or 'characterize' commands. (RTDC-44)
These messages show up for all the outputs and inputs.

I constrain the outputs with a set_load and the inputs with a set_drive. The input drive and output loads are realistic. Its a small circuit and I don't have a delay-spec for the outputs or inputs, just a loading and drive spec. Hows should I interpret these messages? I know that dc is pretty conservative with output warnings but I'd still like to get someone elses input before I go an ignore this. For the record, this is part of a mixed signal design; In virtuoso/spectre, the outputs look good.


Thanks
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top