Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Strange thing , first time get this type or error : ERROR:ConstraintSystem:59

Status
Not open for further replies.

abimann

Member level 4
Joined
Jun 21, 2016
Messages
77
Helped
0
Reputation
0
Reaction score
0
Trophy points
6
Activity points
673
Top level port :
ss_n : BUFFER STD_LOGIC_VECTOR(0 DOWNTO 0);

constraint file ucf :
NET "ss_n" LOC = "AC24" ;# | S=true; #keep={yes|no|true|false}| keep=true

Error :
ERROR:ConstraintSystem:59 - Constraint <NET "ss_n" LOC = "AC24" ;>
[dfg.ucf(18)]: NET "ss_n" not found. Please verify that:
1. The specified design element actually exists in the original design.
2. The specified object is spelled correctly in the constraint source file.


I tried change type of port from "BUFFER" to normal "OUT" like
Code:
ss_n    : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
and use buffer :
Code:
--OBUF_CamOE : OBUF
--					generic map (   DRIVE => 12,   IOSTANDARD => "DEFAULT",    SLEW => "FAST")
--					port map (   O => ss_n(0),     I => ss_n_i(0)   );

but not helped me , then i found solved link and use KEEP and S attributes also not help why ? http://www.xilinx.com/support/answers/34258.html

also not helped me, very strange problem
 

The net name is ss_n(0), ss_n is a vector with a 1-bit width and you can't assign a vector to a loc constraint.
 
  • Like
Reactions: abimann

    V

    Points: 2
    Helpful Answer Positive Rating

    abimann

    Points: 2
    Helpful Answer Positive Rating
Thank you much ads-ee ! " ss_n<0>" worked for me.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top