Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

ATPG Test Coverage for stuck@ and @speed

Status
Not open for further replies.

sandy2811

Junior Member level 3
Joined
Jul 20, 2012
Messages
25
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,450
Hi all,
Why the test coverage is more for "stuck-at" as compared to "at-speed or transition pattern" in ATPG? and which type of fault is not covered in transition that will cover in stuck-at?
 

because stuck at is a convenient fault model, relatively easy to cover. it is purely functional, no speed dependency.
 

because stuck at is a convenient fault model, relatively easy to cover. it is purely functional, no speed dependency.

Yeah surely, in stuck at as per it's name no speed dependency but in terms of fault covering which type of faults it will cover so coverage is more than transition.
 

You'll have to refine our question. Stuckat and transition faults are 2 different types of faults and test coverave apply to both. You always need the 2 metrics (Test Coverage for SA and TC for Transition faults). TF patterns detect slow-to-rise and slow-to-fall faults while SA pattern detect stuck and open faults.
@speed fault testting require 1 launch and 1 captur cyclee to be tested.
For @stuckat, 1 capture cycle is often sufficient to detect a fault (unless there are nonscan elements to initialize, or using ram sequential patterns..).
You can fault grade TF patterns into the SA universe, performing fault simulation, then topup with SA patterns.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top