Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Error in Cadence RTL Compiler when estimating Power using VCD

Status
Not open for further replies.

saunaksaha

Newbie level 1
Joined
Feb 26, 2019
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
11
Hi All,

I want to estimate the power using a VCD I dump directly from my testbench. The commands I use for dumping the VCD are:

$dumpfile("OneExample.vcd");
$dumpvars(0, Top_tb.CyNAPSE);


(testbench file is Top_tb and the module instantiated in it is CyNAPSE of type Top. Top is the top module included in the synthesizable RTL)
I use the following Cadence RTL compiler command in my synthesis script (after elaborate and before synthesize)

read_vcd -vcd_module Top_tb -module Top -static ../rtl/OneExample.vcd

I receive the following error:

Error : Syntax error in input VCD file. [POPT-550] [lp_new_vcd_analysis]
: syntax error at line number 8146609 in file ../rtl/OneExample.vcd

: Correct the error at indicated line number.


(line 8146609 is the last line of the .vcd file)
I have included the testbench file Top_tb.v as well as synthesizable top module Top.v in the read location for the tool to find (../rtl/).
Can anyone help me with this? I will greatly appreciate it.


Thank you!
 
Last edited by a moderator:

Hi All,

I want to estimate the power using a VCD I dump directly from my testbench. The commands I use for dumping the VCD are:


I use the following Cadence RTL compiler command in my synthesis script (after elaborate and before synthesize)



I receive the following error:


I have included the testbench file Top_tb.v as well as synthesizable top module Top.v in the read location for the tool to find (../rtl/).
Can anyone help me with this? I will greatly appreciate it.


Thank you!

your vcd file is corrupted or incomplete. try generating a small file first, with only a few clock cycles of sim time, and see if it works.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top