Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Issue interpreting AC phase plot

Status
Not open for further replies.

promach

Advanced Member level 4
Joined
Feb 22, 2016
Messages
1,199
Helped
2
Reputation
4
Reaction score
5
Trophy points
1,318
Activity points
11,636
I am having some issue interpreting the following AC phase plot.
The AC gain plot is ok, rolling off at high frequencies, but what about the AC phase plot which increases from 0 towards 180 degrees at higher frequencies ?

Note: The current input stimulus and feedback resistor is configuring the inverter as a transimpedance amplifier. It’s to avoid disturbing the dc bias.

https://i.imgur.com/3Y0apMv.png
3Y0apMv.png

test_cmos_inverter.asc

Code:
Version 4
SHEET 1 2264 2956
WIRE 256 -48 240 -48
WIRE 528 -48 256 -48
WIRE 240 0 240 -48
WIRE 256 48 256 -48
WIRE 256 48 240 48
WIRE 192 80 160 80
WIRE 160 160 160 80
WIRE 240 160 240 96
WIRE 240 192 240 160
WIRE 272 192 240 192
WIRE 384 192 272 192
WIRE 112 224 0 224
WIRE 160 224 160 160
WIRE 160 224 112 224
WIRE 240 224 240 192
WIRE 0 272 0 224
WIRE 256 272 240 272
WIRE 528 288 528 -48
WIRE 160 304 160 224
WIRE 192 304 160 304
WIRE 240 352 240 320
WIRE 256 352 256 272
WIRE 256 352 240 352
WIRE 0 416 0 352
WIRE 256 416 256 352
WIRE 256 416 0 416
WIRE 352 416 256 416
WIRE 384 416 384 272
WIRE 384 416 352 416
WIRE 528 416 528 368
WIRE 528 416 384 416
WIRE 352 448 352 416
FLAG 352 448 0
FLAG 112 224 in
FLAG 272 192 out
SYMBOL nmos4 192 224 R0
SYMATTR InstName M1
SYMATTR Value TSMC180nmN
SYMATTR Value2 l=3u w=1.2u
SYMBOL voltage 528 272 R0
SYMATTR InstName V1
SYMATTR Value 1.0
SYMBOL pmos4 192 0 R0
SYMATTR InstName M2
SYMATTR Value TSMC180nmP
SYMATTR Value2 l=3u w=0.4u
SYMBOL current 0 272 R0
WINDOW 123 24 102 Left 2
SYMATTR Value2 AC 1
SYMATTR InstName I1
SYMATTR Value 0
SYMBOL res 256 144 R90
WINDOW 0 0 56 VBottom 2
WINDOW 3 2 135 VTop 2
SYMATTR InstName R1
SYMATTR Value 1Meg
SYMBOL current 384 192 R0
WINDOW 123 39 50 Left 2
WINDOW 3 68 23 Left 2
SYMATTR Value2 AC 0
SYMATTR InstName I2
SYMATTR Value 0
TEXT 864 176 Left 2 !.op
TEXT 872 200 Left 2 !.ac dec 100 1 10g
TEXT 816 328 Left 2 !.include tsmc180nmcmos.lib
TEXT 808 256 Left 2 !;.dc I1 -165n 165n 1n

tsmc180nmcmos.lib

Code:
* PSPICE TSMC180nm.lib file  RWN  04/18/2010
* library  file for transistor parameters for TMSC 0.18 micron process
* uses BIM parameters added 01/15/98
* can configure and attach to Nbreak and Pbreak transistors in PSpice
****
******************  180nm TSMC parameters  *************
*T14B SPICE BSIM3 VERSION 3.1 PARAMETERS
* downloaded from MOSIS 04/18/10
*http://www.mosis.com/cgi-bin/cgiwrap/umosis/swp/params/
* tsmc-018/t92y_mm_non_epi_thk_mtl_params.txt
*SPICE 3f5 Level 8, Star-HSPICE Level 49, UTMOST Level 8
* DATE: Jun  8/01
* LOT: T14B                  WAF: 06
* Temperature_parameters=Default
*$
.MODEL TSMC180nmN NMOS (                                LEVEL   = 7
+VERSION = 3.1            TNOM    = 27             TOX     = 4.1E-9
+XJ      = 1E-7           NCH     = 2.3549E17      VTH0    = 0.354505
+K1      = 0.5733393      K2      = 3.177172E-3    K3      = 27.3563303
+K3B     = -10            W0      = 2.341477E-5    NLX     = 1.906617E-7
+DVT0W   = 0              DVT1W   = 0              DVT2W   = 0
+DVT0    = 1.6751718      DVT1    = 0.4282625      DVT2    = 0.036004
+U0      = 327.3736992    UA      = -4.52726E-11   UB      = 4.46532E-19
+UC      = -4.74051E-11   VSAT    = 8.785346E4     A0      = 1.6897405
+AGS     = 0.2908676      B0      = -8.224961E-9   B1      = -1E-7
+KETA    = 0.021238       A1      = 8.00349E-4     A2      = 1
+RDSW    = 105            PRWG    = 0.5            PRWB    = -0.2
+WR      = 1              WINT    = 0              LINT    = 1.351737E-8
*+XL      = -2E-8          XW      = -1E-8         
+ DWG     = 1.610448E-9
+DWB     = -5.108595E-9   VOFF    = -0.0652968     NFACTOR = 2.4901845
+CIT     = 0              CDSC    = 2.4E-4         CDSCD   = 0
+CDSCB   = 0              ETA0    = 0.0231564      ETAB    = -0.058499
+DSUB    = 0.9467118      PCLM    = 0.8512348      PDIBLC1 = 0.0929526
+PDIBLC2 = 0.01           PDIBLCB = -0.1           DROUT   = 0.5224026
+PSCBE1  = 7.979323E10    PSCBE2  = 1.522921E-9    PVAG    = 0.01
+DELTA   = 0.01           RSH     = 6.8            MOBMOD  = 1
+PRT     = 0              UTE     = -1.5           KT1     = -0.11
+KT1L    = 0              KT2     = 0.022          UA1     = 4.31E-9
+UB1     = -7.61E-18      UC1     = -5.6E-11       AT      = 3.3E4
+WL      = 0              WLN     = 1              WW      = 0
+WWN     = 1              WWL     = 0              LL      = 0
+LLN     = 1              LW      = 0              LWN     = 1
+LWL     = 0              CAPMOD  = 2              XPART   = 0.5
+CGDO    = 7.7E-10        CGSO    = 7.7E-10        CGBO    = 1E-12
+CJ      = 1.010083E-3    PB      = 0.7344298      MJ      = 0.3565066
+CJSW    = 2.441707E-10   PBSW    = 0.8005503      MJSW    = 0.1327842
+CJSWG   = 3.3E-10        PBSWG   = 0.8005503      MJSWG   = 0.1327842
+CF      = 0              PVTH0   = 1.307195E-3    PRDSW   = -5
+PK2     = -1.022757E-3   WKETA   = -4.466285E-4   LKETA   = -9.715157E-3
+PU0     = 12.2704847     PUA     = 4.421816E-11   PUB     = 0
+PVSAT   = 1.707461E3     PETA0   = 1E-4           PKETA   = 2.348777E-3     )
*
*$
.MODEL TSMC180nmP PMOS (                                LEVEL   = 7
+VERSION = 3.1            TNOM    = 27             TOX     = 4.1E-9
+XJ      = 1E-7           NCH     = 4.1589E17      VTH0    = -0.4120614
+K1      = 0.5590154      K2      = 0.0353896      K3      = 0
+K3B     = 7.3774572      W0      = 1E-6           NLX     = 1.103367E-7
+DVT0W   = 0              DVT1W   = 0              DVT2W   = 0
+DVT0    = 0.4301522      DVT1    = 0.2156888      DVT2    = 0.1
+U0      = 128.7704538    UA      = 1.908676E-9    UB      = 1.686179E-21
+UC      = -9.31329E-11   VSAT    = 1.658944E5     A0      = 1.6076505
+AGS     = 0.3740519      B0      = 1.711294E-6    B1      = 4.946873E-6
+KETA    = 0.0210951      A1      = 0.0244939      A2      = 1
+RDSW    = 127.0442882    PRWG    = 0.5            PRWB    = -0.5
+WR      = 1              WINT    = 5.428484E-10   LINT    = 2.468805E-8
*+XL      = -2E-8          XW      = -1E-8          
+DWG     = -2.453074E-8
+DWB     = 6.408778E-9    VOFF    = -0.0974174     NFACTOR = 1.9740447
+CIT     = 0              CDSC    = 2.4E-4         CDSCD   = 0
+CDSCB   = 0              ETA0    = 0.1847491      ETAB    = -0.2531172
+DSUB    = 1.5            PCLM    = 4.8842961      PDIBLC1 = 0.0156227
+PDIBLC2 = 0.1            PDIBLCB = -1E-3          DROUT   = 0
+PSCBE1  = 1.733878E9     PSCBE2  = 5.002842E-10   PVAG    = 15
+DELTA   = 0.01           RSH     = 7.7            MOBMOD  = 1
+PRT     = 0              UTE     = -1.5           KT1     = -0.11
+KT1L    = 0              KT2     = 0.022          UA1     = 4.31E-9
+UB1     = -7.61E-18      UC1     = -5.6E-11       AT      = 3.3E4
+WL      = 0              WLN     = 1              WW      = 0
+WWN     = 1              WWL     = 0              LL      = 0
+LLN     = 1              LW      = 0              LWN     = 1
+LWL     = 0              CAPMOD  = 2              XPART   = 0.5
+CGDO    = 7.11E-10       CGSO    = 7.11E-10       CGBO    = 1E-12
+CJ      = 1.179334E-3    PB      = 0.8545261      MJ      = 0.4117753
+CJSW    = 2.215877E-10   PBSW    = 0.6162997      MJSW    = 0.2678074
+CJSWG   = 4.22E-10       PBSWG   = 0.6162997      MJSWG   = 0.2678074
+CF      = 0              PVTH0   = 2.283319E-3    PRDSW   = 5.6431992
+PK2     = 2.813503E-3    WKETA   = 2.438158E-3    LKETA   = -0.0116078
+PU0     = -2.2514581     PUA     = -7.62392E-11   PUB     = 4.502298E-24
+PVSAT   = -50            PETA0   = 1E-4           PKETA   = -1.047892E-4    )
*
*.ENDS    
*$
 

Just trivial. Cgd acts as feedforward path respectively causes a right-halfplane zero. Draw an equivalent circuit and you'll see.
 
@FvM

No, I just found out that the V(out)/V(in) has an increasing gain, and a decreasing phase.

I am bit surprised at the increasing AC gain magnitude for V(out)/V(in) . Any idea why ?

See the following for phase of V(in) and V(out) respectively which shape the phase of V(out)/V(in)

https://i.imgur.com/XsteStM.png
XsteStM.png
 

Promach....three questions:
* Supply voltage only 1volt DC? Why so low?
* Input signal is a current I1. Why not a voltage?
* What is the purpose of the output current source I2?
 

I just found out that the V(out)/V(in) has an increasing gain, and a decreasing phase.
You are right, positive gain > 1 means that it's no simple Cgd feedforward effect. I didn't look at the gain magnitude graph.

Positive gain > 1 at high frequency is also achieved in this circuit with higher supply voltage and capacitively coupled AC voltage source, there's apparently something special about the transistor model.

test_cmos.PNG test_cmos2.PNG
 

See the following modification done by others

https://i.imgur.com/f0sVVZv.png
f0sVVZv.png

test_cmos_inverter_AB2.asc

Code:
Version 4
SHEET 1 2264 2956
WIRE 256 -48 240 -48
WIRE 528 -48 256 -48
WIRE 240 0 240 -48
WIRE 192 16 160 16
WIRE 256 48 256 -48
WIRE 256 48 240 48
WIRE 160 128 160 16
WIRE 240 128 240 96
WIRE 288 128 240 128
WIRE 384 128 288 128
WIRE 480 128 384 128
WIRE 384 192 384 128
WIRE 528 208 528 -48
WIRE 112 224 0 224
WIRE 160 224 160 128
WIRE 160 224 112 224
WIRE 0 272 0 224
WIRE 240 288 240 128
WIRE 256 336 240 336
WIRE 160 368 160 224
WIRE 192 368 160 368
WIRE 0 416 0 352
WIRE 240 416 240 384
WIRE 240 416 0 416
WIRE 256 416 256 336
WIRE 256 416 240 416
WIRE 352 416 256 416
WIRE 384 416 384 272
WIRE 384 416 352 416
WIRE 528 416 528 288
WIRE 528 416 384 416
WIRE 352 448 352 416
FLAG 352 448 0
FLAG 112 224 in
FLAG 288 128 out
DATAFLAG 432 128 ""
DATAFLAG 240 224 "id(M1)"
SYMBOL nmos4 192 288 R0
WINDOW 3 84 51 Left 2
WINDOW 123 74 86 Left 2
SYMATTR Value TSMC180nmN
SYMATTR Value2 L=0.18u W=9u ad=4.5p pd=19u
SYMATTR InstName M1
SYMBOL voltage 528 192 R0
WINDOW 123 0 0 Left 2
WINDOW 39 0 0 Left 2
SYMATTR InstName V1
SYMATTR Value 1V
SYMBOL pmos4 192 96 M180
WINDOW 3 95 16 Left 2
WINDOW 123 75 67 Left 2
SYMATTR Value TSMC180nmP
SYMATTR Value2 L=0.18u W=18u ad=9p pd=37u
SYMATTR InstName M2
SYMBOL current 0 272 R0
WINDOW 123 24 102 Left 2
SYMATTR Value2 AC 1
SYMATTR InstName I1
SYMATTR Value 0
SYMBOL res 256 112 R90
WINDOW 0 0 56 VBottom 2
WINDOW 3 2 145 VTop 2
SYMATTR InstName R1
SYMATTR Value 1Meg
SYMBOL current 384 192 R0
WINDOW 123 39 50 Left 2
WINDOW 3 68 23 Left 2
SYMATTR Value2 AC 0
SYMATTR Value 0
SYMATTR InstName I2
TEXT 680 112 Left 2 !.op
TEXT 688 136 Left 2 !.ac dec 100 1 10g
TEXT 632 264 Left 2 !.include tsmc180nmcmos.lib
TEXT 624 192 Left 2 !;.dc I1 -165n 165n 1n
 

For those who are interested in simulation of the above circuit, please see the attached asc file and mosfet library.
 

Attachments

  • test_cmos_inverter_ABv2_gm.zip
    75.8 KB · Views: 89

Why 44 kOhm = R1/Vinverter ?
 

Sorry for being unclear. Vinverter means inverter gain. It's shunt-shunt negative feedback (voltage controlled current feedback), reducing the input impedance.
 
Rin = R1 / (| Gain | +1). At higher frequencies, the output capacitor reduces the gain and Rin -> R1.

but why Rin drops again after 2MHz ?
 

@FvM

Why all three waveform plots changes so much by just increasing supply voltage from 1.0V to 1.8V ?

Note: Compare it with post #7

VquYvxX.png
 

Removing the large output capacitance C1 (1n) makes more sense.

1) But why input impedance starts with 44kOhm at low frequencies range ? Any way to calculate this value ?

2) Besides, why the phase of the input impedance starts rising again after 200MHz to 150 degrees ?

3) How would I measure overall transconductance (Gm) of this CMOS inverter using input AC current source stimulus (I1) and feedback resistor (R1) ?

WbpjWe9.png


test_cmos_inverter_AB2.asc

Code:
Version 4
SHEET 1 2264 2956
WIRE 256 -48 240 -48
WIRE 848 -48 256 -48
WIRE 240 0 240 -48
WIRE 192 16 160 16
WIRE 256 48 256 -48
WIRE 256 48 240 48
WIRE 160 128 160 16
WIRE 240 128 240 96
WIRE 288 128 240 128
WIRE 384 128 288 128
WIRE 608 128 384 128
WIRE 384 192 384 128
WIRE 848 208 848 -48
WIRE 112 224 0 224
WIRE 160 224 160 128
WIRE 160 224 112 224
WIRE 0 272 0 224
WIRE 240 288 240 128
WIRE 256 336 240 336
WIRE 160 368 160 224
WIRE 192 368 160 368
WIRE 0 416 0 352
WIRE 240 416 240 384
WIRE 240 416 0 416
WIRE 256 416 256 336
WIRE 256 416 240 416
WIRE 352 416 256 416
WIRE 384 416 384 272
WIRE 384 416 352 416
WIRE 848 416 848 288
WIRE 848 416 384 416
WIRE 352 448 352 416
FLAG 352 448 0
FLAG 112 224 in
FLAG 288 128 out
DATAFLAG 432 128 ""
DATAFLAG 240 224 "id(M1)"
SYMBOL nmos4 192 288 R0
WINDOW 3 84 51 Left 2
WINDOW 123 74 86 Left 2
SYMATTR Value NM
SYMATTR Value2 L=0.18u W=6u
SYMATTR InstName M1
SYMBOL voltage 848 192 R0
WINDOW 123 0 0 Left 2
WINDOW 39 0 0 Left 2
SYMATTR InstName V1
SYMATTR Value 1.8V
SYMBOL pmos4 192 96 M180
WINDOW 3 95 16 Left 2
WINDOW 123 75 67 Left 2
SYMATTR Value PM
SYMATTR Value2 L=0.18u W=18u
SYMATTR InstName M2
SYMBOL current 0 272 R0
WINDOW 123 24 102 Left 2
SYMATTR Value2 AC 1
SYMATTR InstName I1
SYMATTR Value 0
SYMBOL res 256 112 R90
WINDOW 0 0 56 VBottom 2
WINDOW 3 2 145 VTop 2
SYMATTR InstName R1
SYMATTR Value 1Meg
SYMBOL current 384 192 R0
WINDOW 123 39 50 Left 2
WINDOW 3 68 23 Left 2
SYMATTR Value2 AC 0
SYMATTR Value 0
SYMATTR InstName I2
TEXT -576 8 Left 2 !.op
TEXT -568 32 Left 2 !.ac dec 100 1 10g
TEXT -624 160 Left 2 !;.include tsmc180nmcmos.lib
TEXT -632 88 Left 2 !;.dc I1 -165n 165n 1n
TEXT -608 192 Left 2 !.include mosfet_018.lib

mosfet_018.lib

Code:
* modified for use with LTSpice; DM 8/19/2008
*
* 0.18u CMOS process
*
* NMOS transistor model name: NM
* PMOS transistor model name: PM


*-----------------------------------------------------------------------
.subckt NM D G S B 
+params: W=10u L=1u
M1 D G S B NM L={L} W={W} AS={1.1u*W} PS={2.2u+W} AD={1.1u*W} PD={2.2u+W}
.ends

* ----------------------------------------------------------------------
* NMOS transistor model 
* ----------------------------------------------------------------------
.MODEL NM NMOS LEVEL=49
* ----------------------------------------------------------------------
************************* SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format    : LTspice
* model     : MOS BSIM3v3
* ----------------------------------------------------------------------
*                        TYPICAL MEAN CONDITION
* ----------------------------------------------------------------------
+VERSION = 3.1            TNOM    = 27             TOX     = 4.1E-9
+XJ      = 1E-7           NCH     = 2.3549E17      VTH0    = 0.354505
+K1      = 0.5733393      K2      = 3.177172E-3    K3      = 27.3563303
+K3B     = -10            W0      = 2.341477E-5    NLX     = 1.906617E-7
+DVT0W   = 0              DVT1W   = 0              DVT2W   = 0
+DVT0    = 1.6751718      DVT1    = 0.4282625      DVT2    = 0.036004
+U0      = 327.3736992    UA      = -4.52726E-11   UB      = 4.46532E-19
+UC      = -4.74051E-11   VSAT    = 8.785346E4     A0      = 1.6897405
+AGS     = 0.2908676      B0      = -8.224961E-9   B1      = -1E-7
+KETA    = 0.021238       A1      = 8.00349E-4     A2      = 1
+RDSW    = 105            PRWG    = 0.5            PRWB    = -0.2
+WR      = 1              WINT    = 5e-9              LINT    = 2.351737E-8
+DWG     = 1.610448E-9
+DWB     = -5.108595E-9   VOFF    = -0.0652968     NFACTOR = 2.4901845
+CIT     = 0              CDSC    = 2.4E-4         CDSCD   = 0
+CDSCB   = 0              ETA0    = 0.0231564      ETAB    = -0.058499
+DSUB    = 0.9467118      PCLM    = 0.8512348      PDIBLC1 = 0.0929526
+PDIBLC2 = 0.01           PDIBLCB = -0.1           DROUT   = 0.5224026
+PSCBE1  = 7.979323E10    PSCBE2  = 1.522921E-9    PVAG    = 0.01
+DELTA   = 0.01           RSH     = 6.8            MOBMOD  = 1
+PRT     = 0              UTE     = -1.5           KT1     = -0.11
+KT1L    = 0              KT2     = 0.022          UA1     = 4.31E-9
+UB1     = -7.61E-18      UC1     = -5.6E-11       AT      = 3.3E4
+WL      = 0              WLN     = 1              WW      = 0
+WWN     = 1              WWL     = 0              LL      = 0
+LLN     = 1              LW      = 0              LWN     = 1
+LWL     = 0              CAPMOD  = 2              XPART   = 0.5
+CGDO    = 7.7E-10        CGSO    = 7.7E-10        CGBO    = 1E-12
+CJ      = 1.010083E-3    PB      = 0.7344298      MJ      = 0.3565066
+CJSW    = 2.441707E-10   PBSW    = 0.8005503      MJSW    = 0.1327842
+CJSWG   = 3.3E-10        PBSWG   = 0.8005503      MJSWG   = 0.1327842
+CF      = 0              PVTH0   = 1.307195E-3    PRDSW   = -5
+PK2     = -1.022757E-3   WKETA   = -4.466285E-4   LKETA   = -9.715157E-3
+PU0     = 12.2704847     PUA     = 4.421816E-11   PUB     = 0
+PVSAT   = 1.707461E3     PETA0   = 1E-4           PKETA   = 2.348777E-3     



*-----------------------------------------------------------------------
.subckt PM D G S B 
+params: W=10u L=1u
M1 D G S B PM L={L} W={W} AS={1.1u*W} PS={2.2u+W} AD={1.1u*W} PD={2.2u+W}
.ends

* ----------------------------------------------------------------------
* PMOS transistor model 
* ----------------------------------------------------------------------
.MODEL PM PMOS LEVEL=49
* ----------------------------------------------------------------------
************************* SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format    : LTSPICE
* model     : MOS BSIM3v3
* ----------------------------------------------------------------------
*                        TYPICAL MEAN CONDITION
* ----------------------------------------------------------------------
+VERSION = 3.1            TNOM    = 27             TOX     = 4.1E-9
+XJ      = 1E-7           NCH     = 4.1589E17      VTH0    = -0.4120614
+K1      = 0.5590154      K2      = 0.0353896      K3      = 0
+K3B     = 7.3774572      W0      = 1E-6           NLX     = 1.103367E-7
+DVT0W   = 0              DVT1W   = 0              DVT2W   = 0
+DVT0    = 0.4301522      DVT1    = 0.2156888      DVT2    = 0.1
+U0      = 128.7704538    UA      = 1.908676E-9    UB      = 1.686179E-21
+UC      = -9.31329E-11   VSAT    = 1.658944E5     A0      = 1.6076505
+AGS     = 0.3740519      B0      = 1.711294E-6    B1      = 4.946873E-6
+KETA    = 0.0210951      A1      = 0.0244939      A2      = 1
+RDSW    = 127.0442882    PRWG    = 0.5            PRWB    = -0.5
+WR      = 1              WINT    = 5.928484E-10   LINT    = 3.468805E-8
+DWG     = -2.453074E-8
+DWB     = 6.408778E-9    VOFF    = -0.0974174     NFACTOR = 1.9740447
+CIT     = 0              CDSC    = 2.4E-4         CDSCD   = 0
+CDSCB   = 0              ETA0    = 0.1847491      ETAB    = -0.2531172
+DSUB    = 1.5            PCLM    = 4.8842961      PDIBLC1 = 0.0156227
+PDIBLC2 = 0.1            PDIBLCB = -1E-3          DROUT   = 0
+PSCBE1  = 1.733878E9     PSCBE2  = 5.002842E-10   PVAG    = 15
+DELTA   = 0.01           RSH     = 7.7            MOBMOD  = 1
+PRT     = 0              UTE     = -1.5           KT1     = -0.11
+KT1L    = 0              KT2     = 0.022          UA1     = 4.31E-9
+UB1     = -7.61E-18      UC1     = -5.6E-11       AT      = 3.3E4
+WL      = 0              WLN     = 1              WW      = 0
+WWN     = 1              WWL     = 0              LL      = 0
+LLN     = 1              LW      = 0              LWN     = 1
+LWL     = 0              CAPMOD  = 2              XPART   = 0.5
+CGDO    = 7.11E-10       CGSO    = 7.11E-10       CGBO    = 1E-12
+CJ      = 1.179334E-3    PB      = 0.8545261      MJ      = 0.4117753
+CJSW    = 2.215877E-10   PBSW    = 0.6162997      MJSW    = 0.2678074
+CJSWG   = 4.22E-10       PBSWG   = 0.6162997      MJSWG   = 0.2678074
+CF      = 0              PVTH0   = 2.283319E-3    PRDSW   = 5.6431992
+PK2     = 2.813503E-3    WKETA   = 2.438158E-3    LKETA   = -0.0116078
+PU0     = -2.2514581     PUA     = -7.62392E-11   PUB     = 4.502298E-24
+PVSAT   = -50            PETA0   = 1E-4           PKETA   = -1.047892E-4 
* ----------------------------------------------------------------------
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top