Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

counter asynchronous mod 15

Status
Not open for further replies.

John3456

Newbie level 1
Joined
Oct 20, 2018
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
6
Hi!
I designed counter modulo 15, but i have a problem. Counter is counting 0,1,2,3,4,5,6,7,14, but it should count from 0 to 14. i don't know where i have a mistake. (i cannot use reset input).Could you help me?
 

Attachments

  • img001.png
    img001.png
    28.2 KB · Views: 329

Hi,

This is no synchronous counter.
Synchronous counter means every DFF is running from the same clock.

****
Just build a synchronous binary counter.
Use FF with "synchronous_reset" and "clock_enable" input.
Build a binary comparator for "counter = 14" and feed it's output to all "FF synchronous_reset" inputs.

Klaus

Added: Sorry, just recognized you wrote "asynchronous". My answer is for "synchronous".
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top