Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Vivado Combinational Loop DRC

Status
Not open for further replies.

mselmanerel

Newbie level 1
Joined
Sep 30, 2018
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
14
Hi guys,

I am Selman, Graduate Student in Electrical Engineering Department in Bilkent University,Ankara, Turkey. My professor gave me a project which is generating 500Hz from 125 KHz built-in FGPA oscillator. I have code something in VHDL and it is correct in a syntax manner. However, when it is come to generate bitstream, Vivado did not generate bitstream instead, it gave an error named "[DRC 23-20] Rule violation (LUTLP-1) Combinatorial Loop". I could not find anything leads to combinatorial loop. Code is rather simple, I am a newbie VHDL coder. VHDL code and constraint code is attached to the message. If you help me, I will be rather happy. Best Regards,
 

Attachments

  • Attachment.txt
    1.2 KB · Views: 62

The code isn't synthesizable. Need to add an edge sensitive condition for the process.
 

you forgot to add "if rising_edge(clk) then" to the process
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top