Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

different voltages in IO Differencial ports

Status
Not open for further replies.

abimann

Member level 4
Joined
Jun 21, 2016
Messages
77
Helped
0
Reputation
0
Reaction score
0
Trophy points
6
Activity points
673
I use XC4VLX100 , used buffers for HDMI output OBUFDS/OBUFTDS, in UG070.PDF said Virtex-4 can use IOSTANDARD LVDS_25, LVDSEXT_25, ULVDS_25,
I used all of them but cannot pass implementation stage in ISE, but board used 3.3V only, it mean chip can check voltage ? and if I use external 2.5 V it must work ?

board designers guid :
Please note that you must use I/O standard “LVCMOS33” or “LVTTL”, when IO voltage is 3.3V. If you need to use other I/O standard, then, cut away J6 and J7, and connect external I/O voltage

ISE says :

ERROR:pack:1107 - Pack was unable to combine the symbols listed below into a
single IOBS component because the site type selected is not compatible.
 
Last edited:

You didn't mention the exact board type, thus we can't know which IO banks are supplied by "J6 and J7".

ISE checks if all IOs of a bank are declared to use the same voltage. The FPGA can't check the actual voltage, but using a voltage outside specifications (e.g. operating LVDS_25 with 3.3V supply) will at least degrade the performance or cause malfunction.
 

I found problem , clock capable low capacitance ports cannot used i changed ports and that's pass implementation .. thank for all
 
Last edited:

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top