Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Where can I get a decent technology library (.db, .sdb) for Synopsys Design Compiler

Status
Not open for further replies.

jcll

Newbie level 3
Joined
Sep 10, 2018
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
23
Hello

I have successfully downloaded Synopsys 28/32nm educational library, but I have not managed to make it work (in design compiler). [Where are the target library files ? I don't understand how it is organized ? It is a mess !]

So my question : is there good alternatives for free USABLE libraries out there ?

Thanks in advance !
JCLL
 

nangate freePDK is commonly used. please, do see my answer to your other topic. I believe you are not using the files the way they are meant to be used.
 

Hello

I have successfully downloaded Synopsys 28/32nm educational library, but I have not managed to make it work (in design compiler). [Where are the target library files ? I don't understand how it is organized ? It is a mess !]

So my question : is there good alternatives for free USABLE libraries out there ?

Thanks in advance !
JCLL

I think you misunderstood the concept of target libraries. They are nothing but the same library & will be used by the tool while performing synthesis.

Just go through what is link_library & target_library in DC user manual, I'm sure you will get it.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top