Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How do I synthesize an inout port using DC?

Status
Not open for further replies.

kos8108

Newbie level 2
Joined
May 29, 2018
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
22
ex)...

module test (

input clk;
input a;
inout b;
output c;
)

...

endmodule

I would like to synthesize using dc,the constraint on input & output should be shown below,

set_input_delay -min 1 [get_ports a] -clock clk
set_input_delay -max 2 [get_ports a] -clock clk

set_output_delay -min 0 [get_ports c] -clock clk
set_output_delay -max 1 [get_ports c] -clock clk

but I don't know what to do about Inout pin b

Can I set up one of two ways just like input or output? like this


set_input_delay -min 1 [get_ports b] -clock clk
set_input_delay -max 2 [get_ports b] -clock clk

or

set_output_delay -min 0 [get_ports b] -clock clk
set_output_delay -max 1 [get_ports b] -clock clk

Please help me.
 

ex)...

module test (

input clk;
input a;
inout b;
output c;
)

...

endmodule

I would like to synthesize using dc,the constraint on input & output should be shown below,

set_input_delay -min 1 [get_ports a] -clock clk
set_input_delay -max 2 [get_ports a] -clock clk

set_output_delay -min 0 [get_ports c] -clock clk
set_output_delay -max 1 [get_ports c] -clock clk

but I don't know what to do about Inout pin b

Can I set up one of two ways just like input or output? like this


set_input_delay -min 1 [get_ports b] -clock clk
set_input_delay -max 2 [get_ports b] -clock clk

or

set_output_delay -min 0 [get_ports b] -clock clk
set_output_delay -max 1 [get_ports b] -clock clk

Please help me.


You can use both an INPUT delay constraint for the input side and an OUTPUT delay constraint for the output side
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top