Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Program Error:unhandled pattern type while reading external VCDE pattern in TetraMax

Status
Not open for further replies.

edab_johnhsu

Newbie level 1
Joined
Jun 4, 2018
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
8
Hi, all :

I intent to read external functional patterns in VCDE format by TetraMax, but there are error messages as follow :

"Error: Program Error: unhandled pattern type=0 in VCDE flush routine "

The VCDE external pattern is created by the vcs system task $dumpport :

Code:
"$dumpports(top_module_name, "file_name",,2);

and the TetraMax command to read it is :

Code:
"set patterns external file_name.vcde -vcd_clock auto -strobe rising CLK -strobe offset 70 ns"

Would you please advise me of how to fix it ~~~ !

Thanks very much ~~

Best Regards
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top